搜索资源列表

  1. FPGAddc

    0下载:
  2. 基于FPGA的数字上下变频器的研究与实现,适合通信专业人员参考-FPGA-based digital down converter Research and Implementation for communications professionals reference
  3. 所属分类:其他小程序

    • 发布日期:2024-06-03
    • 文件大小:4253696
    • 提供者:
  1. fpgashuzi

    0下载:
  2. 软件无线电中数字上下变频器研究与实现,适合通信专业人员参考-Digital Software Radio Research and Implementation of up and down converter for communication professionals reference
  3. 所属分类:其他小程序

    • 发布日期:2024-06-03
    • 文件大小:3354624
    • 提供者:
  1. wddc_module

    0下载:
  2. 数字下变频的Verilog程序,测试可以直接使用,将A/D信号下变频为基带I,Q两路信号-Digital down conversion of the Verilog program, testing can be used directly to A/D signal down-conversion to baseband I, Q signals two
  3. 所属分类:汇编语言

    • 发布日期:2024-06-03
    • 文件大小:3072
    • 提供者:gaigai
  1. verilog_FPGA_DDC

    0下载:
  2. 这是一个用verilog HDL实现的实现数字下变频的源代码。-This is a verilog HDL used to achieve the realization of digital down conversion of the source code.
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2024-06-03
    • 文件大小:2790400
    • 提供者:王坤

源码中国 www.ymcn.org