搜索资源列表

  1. 自动售货机VHDL程序与仿真

    0下载:
  2. 自动售货机VHDL程序与仿真,源码,具有很高的参考价值!-vending machines procedures and VHDL simulation source code, the high reference value!
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:143711
    • 提供者:温暖感
  1. autosale

    0下载:
  2. VHDL编写的自动售货机,带找零、退币功能,数字电路课程设计!内附常态图,和dofile波形模拟文件-VHDL prepared by the vending machines, have sought to bring, the coin features, digital circuit design courses! Enclosing normal map and document dofile waveform simulat
  3. 所属分类:其他小程序

    • 发布日期:2024-06-01
    • 文件大小:3072
    • 提供者:张傻
  1. autosellmachine

    0下载:
  2. 用VHDL语言编写的自动售货机程序,下载到EDA实验板上可实现基本的买货售货找零显示总钱等功能。-VHDL prepared by the vending machine procedures, Experimental downloaded to EDA board can achieve basic placing orders showed total sales through irregular money functions
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-01
    • 文件大小:229376
    • 提供者:木林森
  1. 自动售货机VHDL程序与仿真

    0下载:
  2. 自动售货机VHDL程序与仿真,源码,具有很高的参考价值!-vending machines procedures and VHDL simulation source code, the high reference value!
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-01
    • 文件大小:143360
    • 提供者:温暖感
  1. VHDL3

    0下载:
  2. 这是一个自动售货机的vhdl源码,曾经是eda比赛的题目,供大家参考。-This is a vending machine in VHDL source code, the game had been sown topic, for your reference.
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-01
    • 文件大小:534528
    • 提供者:
  1. Automat

    0下载:
  2. 设计一个自动售货机控制程序,它的投币口每次可以投入1元、2元、5元,且规定投入1元或2元后不得再投入5元。当投入总值等于或超过设定值(4元),售货机就自动送出货物并找回多余的钱。-design a vending machine control procedures, it can slot into each one yuan, the two yuan, 5 billion there are provisions into one
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-01
    • 文件大小:215040
    • 提供者:
  1. codeofvhdl2006

    1下载:
  2. 【经典设计】VHDL源代码下载~~ 其中经典的设计有:【自动售货机】、【电子钟】、【红绿灯交通信号系统】、【步进电机定位控制系统】、【直流电机速度控制系统】、【计算器】、【点阵列LED显示控制系统】 基本数字逻辑设计有:【锁存器】、【多路选择器】、【三态门】、【双向输入|输出端口】、【内部(缓冲)信号】、【编码转换】、【加法器】、【编码器/译码器】、【4位乘法器】、【只读存储器】、【RSFF触发器】、【DFF触发器】、【JKFF
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-01
    • 文件大小:44032
    • 提供者:senkong
  1. vhdlautosale

    0下载:
  2. 自动售货机VHDL实现 atmel公司的FPGA-vending machine company VHDL atmel FPGA
  3. 所属分类:操作系统开发

    • 发布日期:2024-06-01
    • 文件大小:61440
    • 提供者:车恒川
  1. vhdl1

    0下载:
  2. VHDL经典案例源码 有至少20个经典案例,如:自动售货机,分频器-Classic case of VHDL source code at least 20 classic cases, such as: vending machines, prescaler
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-01
    • 文件大小:168960
    • 提供者:卢卢
  1. 20044841

    0下载:
  2. vhdl编写的自动售货机程序,可以实现一个和多个商品的售货-VHDL procedures for the preparation of a vending machine, you can achieve one or more of sales of goods
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-01
    • 文件大小:272384
    • 提供者:李寻
  1. con1

    1下载:
  2. maxplus2!!!!!!!!!!!!!!! 自动售货机 vhdl-vending machine VHDL maxplus2 !!!!!!!!!!!!!!!
  3. 所属分类:其他小程序

    • 发布日期:2024-06-01
    • 文件大小:1024
    • 提供者:yjk
  1. 200558080220

    0下载:
  2. 基于VHDL的自动售货机设计,希望对大家有点帮助-VHDL-based design of a vending machine, I hope all of you a little help
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-01
    • 文件大小:337920
    • 提供者:汤文华
  1. 61EDA_B288

    0下载:
  2. 自动售货机 带选择商品,找零,退币,无货提示,单价显示,选择数量等空能-Vending machines with choice of goods, give change back coins, no goods prompts, unit prices displayed, select the quantity of air can
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-01
    • 文件大小:560128
    • 提供者:汪雷明
  1. sell

    0下载:
  2. 用HDL语言编写,实现自动售货机基本功能,含设计报告和.V文件。-HDL language used to achieve the basic functions of a vending machine, including the design of reports and. V document.
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-01
    • 文件大小:239616
    • 提供者:zixue
  1. +VHDL

    0下载:
  2. 很详细用VHDL写的自动售货机程序有详细的说明和设计要求实现功能-Very detailed written using VHDL vending machine procedure is described in detail and design requirements for the realization of function
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-01
    • 文件大小:3072
    • 提供者:linhua
  1. soldervhdl

    0下载:
  2. 自动售货机的vhdl程序 在quartus环境下编译运行通过-Vhdl program for vending machine
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-01
    • 文件大小:7168
    • 提供者:dreamy
  1. sellmachine

    0下载:
  2. 自动售货机,程序很完美,功能:货物信息存储,进程控制,硬币处理,余额计算,显示等功能-sell machine ,in VHDL
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-01
    • 文件大小:2048
    • 提供者:zhuzi
  1. 8

    0下载:
  2. 8[1] .21 自动售货机 VHDL程序 与仿真.doc-8 [1] [1] .21 vending machine and simulation of VHDL procedures. Doc
  3. 所属分类:软件工程

    • 发布日期:2024-06-01
    • 文件大小:143360
    • 提供者:fufeifei
  1. vhdl

    0下载:
  2. EDA 自动售货机 包涵源程序和仿真原理图。课程设计内容-EDA vending machine
  3. 所属分类:软件工程

    • 发布日期:2024-06-01
    • 文件大小:61440
    • 提供者:WX
  1. VHDL-Vending-machine

    0下载:
  2. 用VHDL设计自动售货机,能实现自动找零的功能。-Vending machines with VHDL design, auto-change function can be realized.
  3. 所属分类:其他小程序

    • 发布日期:2024-06-01
    • 文件大小:540672
    • 提供者:毛毛
« 12 3 4 5 »

源码中国 www.ymcn.org