搜索资源列表

  1. work4dvf

    0下载:
  2. 数控分频器的设计数控分频器的功能就是当在输入端给定不同输入数据时,将对输入的时钟信号有不同的分频比,数控分频器就是用计数值可并行预置的加法计数器设计完成的,方法是将计数溢出位与预置数加载输入信号相接即可。
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:33648
    • 提供者:lkiwood
  1. work4dvf

    0下载:
  2. 数控分频器的设计数控分频器的功能就是当在输入端给定不同输入数据时,将对输入的时钟信号有不同的分频比,数控分频器就是用计数值可并行预置的加法计数器设计完成的,方法是将计数溢出位与预置数加载输入信号相接即可。-NC NC divider divider design of its function is when the input given different input data, input the clock signal wil
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-09
    • 文件大小:33792
    • 提供者:lkiwood
  1. shukongfenpin

    0下载:
  2. 数控分频器的输出信号频率为输入数据的函数。用传统的方法设计,其设计过程和电路都比较复杂,且设计成 果的可修改性和可移植性都较差。基于VHDL 的数控分频器设计,整个过程简单、快捷,极易修改,可移植性强。他可利用 并行预置数的加法计数器和减法计数器实现。广泛应用于电子仪器、乐器等数字电子系统中。-NC divider output signal frequency is a function of input data. Usin
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-09
    • 文件大小:174080
    • 提供者:邱颖
  1. DVF

    0下载:
  2. 数控分频器的设计数控分频器 端口定义: CLK:时钟输入 D[7..0]:预置数据 Fout:分频输出 说明: D[7..0]作为8位加1计数器的初值,初值越大,分频输出频率越高,反之越低, -NC NC divider divider port the definition of design: CLK: Clock input D [7 .. 0]: preset data Fout: freque
  3. 所属分类:Windows编程

    • 发布日期:2024-06-09
    • 文件大小:1024
    • 提供者:张娟
  1. experiment6

    0下载:
  2. VHDL课程实验6,数控分频器的设计。对应不同的输入信号,预置数(初始计数值)设定不同的值,计数器以此预置数为初始状态进行不同模值的计数,当计数器的状态全为1时,计数器输出溢出信号。用计数器的溢出信号作为输出信号或输出信号的控制值,使输出信号的频率受控于输入的预置数-VHDL course experiment 6, NC Divider. Corresponding to different input signals, the se
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-09
    • 文件大小:190464
    • 提供者:童长威
  1. EDA2

    0下载:
  2. 学习数控分频器的设计、分析和测试方法。数控分频器的功能就是当在输入端给定不同输入数据时,将对输入的时钟信号有不同的分频比,数控分频器就是用计数值可并行预置的加法计数器设计完成的,方法是将计数溢出位与预置数加载输入信号相接即可。-NC crossover study design, analysis and testing methods. NC divider function is that when the input given
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-09
    • 文件大小:45056
    • 提供者:zhangyue
  1. shu-kong-fen-pin-qi

    0下载:
  2. 数控分频器的功能就是当在输入端给定不同输入数据时将对输入的时钟信号有不同的分频比,数控分频器就是计数值可并行预置的加法计数器设计完成,方法是将计数溢出与预置数加载输入信号相接即可。利用QuartusII软件,可以用VHDL语言进行编写程序的放法进行对数控分频器的设计。这里不需要很好的数字电路的知识,只要懂得VHDL语句就可以实现对数字电路功能的设计。-NC divider function is that when given diff
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-09
    • 文件大小:3072
    • 提供者:xuling
  1. skfp

    0下载:
  2. 数控分频器的功能就是当在输入端给定不同输入数据时,将对输入的时钟信号有不同的分频比,参考代码中的数控分频器是用可并行预置的加法计数器设计完成的,当加法计数器溢出时进行并行预置。-The function of NC divider is when in the input given different input data, the input clock signal has a different frequency ratio,
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2024-06-09
    • 文件大小:3072
    • 提供者:张宇晴
  1. Example5

    0下载:
  2. 数控分频器设计 数控分频器的功能就是当输入端给定不同的输入数据时, 分频器对输入时钟 信号有不同的分频比,数控分频器就是用计数值可并行预置的加法计数器来设计 完成的,方法是将计数溢出位与预置数装载信号相接得到-NC NC divider divider design feature is that when the given input different input data, the frequency divider
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-09
    • 文件大小:3072
    • 提供者:贺泽伟
  1. 预置分频器

    0下载:
  2. FPGA预置分频器,实现各分频功能。。。。。。。。(FPGA preset divider)
  3. 所属分类:Windows编程

    • 发布日期:2024-06-09
    • 文件大小:186368
    • 提供者:厘米limi

源码中国 www.ymcn.org