搜索资源列表

  1. 课题:计数式数字频率的CPLD实现.rar

    0下载:
  2. 本设计的基本要求是以复杂可编程逻辑器件CPLD为基础,通过在EDA系统软件ispDesignExpert System 环境下进行数字系统设计,熟练掌握该环境下的功能仿真,时间仿真,管脚锁定和芯片下载。 本系统基本上比较全面的模拟了计数式数字频率计,广泛应用于工业、民用等各个领域,具有一定的开发价值。
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2024-05-31
    • 文件大小:439843
    • 提供者:
  1. Timer_Counter

    2下载:
  2. 频率计,用arm7实现。 核心芯片为S3C44B0, 用以实现对外部信号的频率计数-Cymometer with arm7 achieve. Core chips for S3C44B0 to achieve external signal frequency count
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:87960
    • 提供者:海风
  1. pinlvji

    0下载:
  2. 基于51单片机实现的频率计程序,通过51单片机的计数器来实现频率测量-Based on 51 MCU Cymometer procedures, through the 51 single-chip counters to achieve frequency measurement
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-31
    • 文件大小:1024
    • 提供者:zgw
  1. FrequencyCounter

    1下载:
  2. 利用AT89S51 单片机的T0、T1的定时计数器功能,来完成对输入的信号进行频率计数, 计数的频率结果通过8位动态数码管显示出来。要求能够对0-250KHZ 的信号频率进行准确计数,计数误差不超过±1HZ。-AT89S51 MCU using T0, T1 timing counter function, to complete the input signal frequency counting, counting the f
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-31
    • 文件大小:3072
    • 提供者:方金波
  1. pinglvjishuqi

    0下载:
  2. 利用AT89S51单片机的T0、T1的定时计数器功能,来完成对输入的信号进行频率计数-AT89S51 MCU using T0, T1 timing counter function, to complete the input signal frequency count
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2024-05-31
    • 文件大小:39936
    • 提供者:王晓桦
  1. frequency-phase_test_vhdl

    0下载:
  2. 相位差测试,频率测试、频率计数器、闸门控制器、显示译码控制的vhdl程序-Phase tests, the frequency of testing, frequency counters, gate controller, showing decoding control VHDL procedures
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-31
    • 文件大小:5120
    • 提供者:王充
  1. shuxianpinlvjishu

    0下载:
  2. 利用AT89S51单片机的T0、T1的定时计数器功能,来完成对输入的信号进行频率计数,计数的频率结果通过8位动态数码管显示出来。要求能够对0-250KHZ的信号频率进行准确计数,计数误差不超过±1HZ-AT89S51 MCU using T0, T1 timing counter function, to complete the input signal frequency counting, counting the frequen
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-31
    • 文件大小:10240
    • 提供者:zhoujianjun
  1. 316

    0下载:
  2. 利用AT89S51单片机的T0、T1的定时计数器功能,来完成对输入的信号进行频率计数,计数的频率结果通过8位动态数码管显示出来。要求能够对0-250KHZ的信号频率进行准确计数,计数误差不超过±1HZ。-err
  3. 所属分类:汇编语言

    • 发布日期:2024-05-31
    • 文件大小:11264
    • 提供者:caoshun
  1. 6weishuxian

    0下载:
  2. 利用AT89S51单片机的T0、T1的定时计数器功能,来完成对输入的信号进行频率计数,计数的频率结果通过8位动态数码管显示出来。能够对0-250KHZ的信号频率进行准确计数,同时计数误差不超过±1HZ-AT89S51 MCU using T0, T1 timing counter function, to complete the input signal frequency counting, counting the frequen
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-31
    • 文件大小:41984
    • 提供者:叶青
  1. ss

    0下载:
  2. 功能描述: 利用AT89S51单片机的T0、T1的定时计数器功能,来完成对输入的信号进行 频率计数,计数的频率结果通过8位动态数码管显示出来。要求能够对2-40KHZ 的信号频率进行准确计数,计数误差不超过2/1-Functional Descr iption: Using AT89S51 MCU T0, T1 timing counter function, to complete the input signal freque
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-31
    • 文件大小:2048
    • 提供者:过客
  1. 6digitfrequencycountersignificant

    0下载:
  2. 利用AT89S51单片机的T0、T1的定时计数器功能,来完成对输入的信号进行频率计数,计数的频率结果通过8位动态数码管显示出来。要求能够对0-250KHZ的信号频率进行准确计数,计数误差不超过±1HZ。 -AT89S51 microcontroller using T0, T1 timing counters function to complete the input signal frequency count, counting
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2024-05-31
    • 文件大小:39936
    • 提供者:赵海标
  1. 频率计报告正文

    0下载:
  2. 简易频率计汇编语言计数法包括计数进制转换和显示程序(digital cymometer)
  3. 所属分类:软件工程

    • 发布日期:2024-05-31
    • 文件大小:336896
    • 提供者:玲子
  1. TIM 计数模式

    0下载:
  2. 利用STM32单片机的定时器外部计数模式测量方波信号频率。(Use STM32 microcontroller timer external count mode to measure square wave signal frequency.)
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-31
    • 文件大小:3436544
    • 提供者:忘源
  1. 电赛 计数

    0下载:
  2. 基于MSP430的频率计开发,可精准测出65k以下的频率。若要高频则可加上分频电路(MSP430 based frequency meter development, can accurately measure the frequency below 65k. If the high frequency, you can add frequency division circuit)
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-31
    • 文件大小:53248
    • 提供者:hucong
  1. 测量频率

    0下载:
  2. 功能:测量两路输入的频率,并在液晶上显示。 有两种方法测频率,一种是周期测频法,一种是频率计数法: 周期测频法要求有参考时钟,通过对一个或者几个周期信号内参考时钟的计数来测量; 频率计数法就是单位时间内测出被测信号的改变次数,从而得到频率。 第一种方法的精度与参考时钟的速度有关,速度越快精度越高,第二种的精度跟所取的单位时间有关,时间越长,精度越高。所以前者适合测低频,后者适合测高频。 所以要想测量准确就得看你所测信号
  3. 所属分类:其他小程序

    • 发布日期:2024-05-31
    • 文件大小:17692672
    • 提供者:Suns@asd
  1. Lab04-4_Freq

    0下载:
  2. 该实验算是对前几节所学知识的一个综合应用,实验中用到了MSP430F6638 系统主板 上多个外围设备,其中555 定时器连接成的施密特触发器来对输入波形整形,GPIO 中断用 来计数,按键输入来选择需要的闸门,TIIMER_A 用来给闸门定时,段式液晶显示当前闸门 信息和频率计数结果。(This experiment is a comprehensive application of the knowledge learned
  3. 所属分类:单片机(51,AVR,MSP430等)

  1. 基于MSP430G2553的频率计

    0下载:
  2. 基于MSP430的频率计设计,采用的是计数法,作为学习参考资料(MSP430 based frequency meter design, the use of counting method, as a learning reference)
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-31
    • 文件大小:7168
    • 提供者:学习者666
  1. 频率计

    0下载:
  2. 实现频率计数的汇编语言和c语言以及电路图,仅供参考(A assembly language for frequency counting, for reference only)
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-31
    • 文件大小:429056
    • 提供者:楼家小生
  1. 数字频率计

    0下载:
  2. 数字频率计,基于stm32的中断捕获模式对输入信号进行计数,计算频率(Interrupt capture count)
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-31
    • 文件大小:6965248
    • 提供者:wkrlb
  1. 单片机课程设计_频率计_汇编语言

    0下载:
  2. T0用来定时,T1用来对1s内外来高电平计数(T0 is used for timing and T1 is used for high level counting within 1s)
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2024-05-31
    • 文件大小:72704
    • 提供者:闲人_98
« 12 3 4 5 6 7 8 9 10 ... 21 »

源码中国 www.ymcn.org