搜索资源列表

  1. ads8364

    0下载:
  2. 本程序是关于f2812控制ads8364完成AD转换的原程序,希望对大家有所帮助。-this process is on f2812 ads8364 complete control of the original AD conversion procedures, We want to help.
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:62490
    • 提供者:李俊
  1. ads8364

    0下载:
  2. 这是ADS8364同步采集器件的使用方面的指导性文件,对于开发数据的同步采集有很大的帮助,尤其是对于开发TMS320LF2812的同行们。
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2008-10-13
    • 文件大小:5584892
    • 提供者:韩胜军
  1. Interfacing the ADS8364 to the TMS320F2812 DSP

    0下载:
  2. Interfacing the ADS8364 to the TMS320F2812 DSP
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:72082
    • 提供者:屈宵军
  1. Interfacing the ADS8364 to the TMS320F2812 DSP

    0下载:
  2. Interfacing the ADS8364 to the TMS320F2812 DSP
  3. 所属分类:DSP编程

    • 发布日期:2024-06-08
    • 文件大小:71680
    • 提供者:屈宵军
  1. slaa150

    0下载:
  2. 16位并行输出ADS8364 ADC与MSP430F149接口设计。-16 parallel output with MSP430F149 ADS8364 ADC interface design.
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-06-08
    • 文件大小:4096
    • 提供者:刘建翔
  1. ads8364

    0下载:
  2. 本程序是关于f2812控制ads8364完成AD转换的原程序,希望对大家有所帮助。-this process is on f2812 ads8364 complete control of the original AD conversion procedures, We want to help.
  3. 所属分类:DSP编程

    • 发布日期:2024-06-08
    • 文件大小:141312
    • 提供者:李俊
  1. ads8364

    0下载:
  2. 这是ADS8364同步采集器件的使用方面的指导性文件,对于开发数据的同步采集有很大的帮助,尤其是对于开发TMS320LF2812的同行们。-This is the ADS8364 devices use synchronous acquisition guidance document for the development of synchronous data acquisition of great help, especiall
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2024-06-08
    • 文件大小:5584896
    • 提供者:韩胜军
  1. ADS8364EVL

    0下载:
  2. Fujitsu 16bit mcu application, with ads8364.
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-06-08
    • 文件大小:162816
    • 提供者:yimin zhou
  1. shiyan

    0下载:
  2. c6713的源代码,配置ads8364,sdram,flash-c6713 s source code, configuration ads8364, sdram, flash
  3. 所属分类:微处理器(ARM/PowerPC等)

    • 发布日期:2024-06-08
    • 文件大小:276480
    • 提供者:张海涛
  1. ADS8364

    0下载:
  2. 基于LF2407A和ADS8364的采集系统-LF2407A and ADS8364 based on the acquisition system
  3. 所属分类:DSP编程

    • 发布日期:2024-06-08
    • 文件大小:18432
    • 提供者:岳邦强
  1. Interfacing_ADS8364_TMS320F2812DSP

    0下载:
  2. Interfacing the ADS8364 to the TMS320F2812 DSP
  3. 所属分类:DSP编程

    • 发布日期:2024-06-08
    • 文件大小:71680
    • 提供者:lu
  1. program

    0下载:
  2. ADS8364的复位启动由TMS320F2812的输出引脚IOPFO决定,ADS8364的初始化程序;对通用定时器T4的运行方式、周期以及比较值进行配置;DSP2812读取转换结果的子程序。-Single-chip reset the ADS8364 to start from the output pins TMS320F2812 decision IOPFO. ADS8364 clock T4PWM provided by the
  3. 所属分类:DSP编程

    • 发布日期:2024-06-08
    • 文件大小:2048
    • 提供者:sophia
  1. ads8364_f2812

    0下载:
  2. ADS8364是美国德州仪器公司(TI)的一款六通道、16位并行输出、同步采样的模数转换器。该芯片提供了一个灵活的高速并行接口,可以直接与数字信号处理器TMS320F2812相连。本文主要介绍了这个接口的软、硬件设计,着重论述了这两款芯片是如何配置启动和工作的。本设计广泛应用于电机控制、多轴定位系统、三相功率转换、多通道数据采集等场合。-TI_ADS8364&F2812
  3. 所属分类:DSP编程

    • 发布日期:2024-06-08
    • 文件大小:441344
    • 提供者:詹千毅
  1. fpga_ads8364

    0下载:
  2. fpga控制ti的多通道高精度ad芯片ads8364的verilog源码-fpga multi-channel high-precision control ti ad-chip ads8364 the verilog source code
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-08
    • 文件大小:1024
    • 提供者:求求
  1. ADS8364-EVM

    0下载:
  2. ads8364 AD Convert Board EVM
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2024-06-08
    • 文件大小:1665024
    • 提供者:房有定
  1. ADS8364-EVM-Tools

    0下载:
  2. ADS8364 EVM File,it is useful for AD Convert
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2024-06-08
    • 文件大小:5584896
    • 提供者:房有定
  1. ADS8364

    0下载:
  2. DSP控制ADS8364工作的程序,初始化AD,控制何时开始模数转换,何时关断。-ADS8364 DSP control program for operating the initialization AD, analog to digital conversion control when to start and when to turn off.
  3. 所属分类:DSP编程

    • 发布日期:2024-06-08
    • 文件大小:70656
    • 提供者:张无邪
  1. SPI_slave-SPI-control-ADS8364

    0下载:
  2. FPGA控制ADS8364采集,采集的数据通过SPI上传,SPI做从机slave。-FPGA control ADS8364 acquisition, upload the data collected through the SPI port, SPI do slave slave.
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-08
    • 文件大小:74752
    • 提供者:瞿盛
  1. ads8364

    0下载:
  2. 一种六通道16位并行输出同步采样250kHz 模数转换器ADS8364的源代码,仿真通过,编译通过-Through a six channel 16 bit parallel output synchronous sampling analog-to-digital converter 250kHz ADS8364 source code, compiled by simulation.
  3. 所属分类:软件工程

    • 发布日期:2024-06-08
    • 文件大小:1024
    • 提供者:黄亚婷
  1. AD_FPGA_DSP

    0下载:
  2. 使用FPGA(alteral 类型的飓风四代)控制ADS8364进行数据的采集。但是运行后,运行结果显示会有数据乱窜现象,希望不是程序的问题。(provide a program (writing with Verilog HDL language) to control ADS8364 with FPGA.)
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-08
    • 文件大小:7325696
    • 提供者:冰棍儿
« 12 »

源码中国 www.ymcn.org