搜索资源列表

  1. breathe_led

    0下载:
  2. 基于FPGA的呼吸灯程序,压缩包含有整个工程,源码在breathe_led\breathe_led.srcs\sources_1\new\breathe_led.v-breathing light program based on FPGA
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-14
    • 文件大小:258048
    • 提供者:赵强
  1. huxideng

    0下载:
  2. 基于FPGA的VHDL呼吸灯程序,初学vhdl的都可以下载来使用!-FPGA VHDL breathing_led
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-14
    • 文件大小:46080
    • 提供者:思哲
  1. pwm_led

    0下载:
  2. 呼吸灯显示的一个效果是,灯从灭缓慢的变亮,然后从亮缓慢的变暗,如此循环。 在刚开始设计呼吸灯的时候,可能大家想通过LED灯两端的电压,使电压从零逐渐的增加来实现从灭变亮的这个过程,然后再将电压逐渐降低到零来实现从亮到灭。但是咱们必须要明白一个事实,FPGA引脚输出的电压只有“0”和“1”之分,我们是不能使FPGA的引脚输出电压慢慢增加的。所以通过控制LED灯两端的电压来实现呼吸灯是达不到效果的。 虽然咱们不能使FPG
  3. 所属分类:其他小程序

    • 发布日期:2024-06-14
    • 文件大小:1346560
    • 提供者:张远桥
  1. beepfff

    0下载:
  2. 基于FPGA的程序,实现蜂鸣器发声叫,改变程序可以谱曲(Based on the FPGA procedures, to achieve the buzzer sound, change the program can be set)
  3. 所属分类:软件工程

    • 发布日期:2024-06-14
    • 文件大小:428032
    • 提供者:xc小曹
  1. 至简设计法--特效呼吸灯

    0下载:
  2. 特效呼吸灯 工程说明 本模块的功能要求是,实现8个灯前1s慢慢变暗,后1s慢慢变亮,不断重复以上操作。 案例补充说明 呼吸灯效果的LED每时每刻都在以不同的功率工作,以不同的亮度值拟合亮度变化,从而形成非常平顺柔和的灯光特效。亮度变化实际上是通过占空比的变化周期来确定的。占空比通过cnt2的计数来变换,而每次变化为1ms,因此PWM的周期是1ms。(Special effects breathing lamp Engineering
  3. 所属分类:嵌入式/单片机编程

  1. LED_Display_Design_595Breathe

    0下载:
  2. LED呼吸灯,可更改LED灯位数,呼吸频率,等参数。(LED breathing lamp, can change the number of LED lights, respiratory rate, and other parameters.)
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-14
    • 文件大小:162816
    • 提供者:piao-bht
  1. Exp4TZ

    0下载:
  2. 用于实现LED的呼吸灯,通过FPGA调试成功,用了PWM的原理(About the LED of PWM)
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-14
    • 文件大小:3257344
    • 提供者:xlxw

源码中国 www.ymcn.org