搜索资源列表

  1. Flash_ROM_lab

    0下载:
  2. 用SmartGen生成一个256*8的大小同步FIFO,并通过串口发送数据初始化FIFO。然后,再通过串口返回到上位机的串口调试程序显示,确认数据是否正确。-SmartGen generated with a size of 256* 8 Synchronous FIFO, and sending data through the serial port to initialize FIFO. And then back through
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-06-02
    • 文件大小:3072
    • 提供者:劳杰勇

源码中国 www.ymcn.org