搜索资源列表

  1. ongame

    0下载:
  2. 一个游戏 the hardware for the game includes a number of displays, each with a button and -- a light, that each represent a bin that can store marbles (beans). -- -- The display indicates the number of marbles in eac
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:5154
    • 提供者:李清
  1. Sel

    0下载:
  2. JAVAscr ipt的一个选择类, 大家可以下载看看,这是我的一个作品!-JAVAscr ipt choice of a type, we can look at the downloaded, it is one of my works!
  3. 所属分类:ListView/ListBox

    • 发布日期:2008-10-13
    • 文件大小:8939
    • 提供者:asadf
  1. cbi

    0下载:
  2. 计算组合数公式C(ele,sel)=A(ele,sel)/sel! 不用递归实现,放心使用-calculation formula portfolio C (ele, sel) = A (ele, sel) / sel! Recursive not achieved, the use of confidence
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:1337
    • 提供者:廖月旺
  1. tcm_8psk

    0下载:
  2. The Viterbi algorithm is the same as the binary case with one main difference: The survivor sequences include the uncoded bits, which are decided at each trellis stage when selecting one of two parallel branches with the
  3. 所属分类:Linux/Unix编程

    • 发布日期:2008-10-13
    • 文件大小:5377
    • 提供者:里海
  1. VX_DNP3.0

    2下载:
  2. 与保护、测控设备通讯的DNP3.0规约,与SEL公司部分产品完成通讯 Tornado2.0编译,无故障运行与研华HE-8XX系列主板3年以上 解压密码luckycy-and protection, monitoring and control equipment communications DNP3.0 Statute SEL with the completion of part of the company's c
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:18684
    • 提供者:电气工程师
  1. lxa

    0下载:
  2. 将4MHz的访波输入到ccc模块上,输出500Hz提供鸣叫声频。1kHz的方波经fen10模块进行十分频后为秒模块mian、分模块mina、时模块hour,提供时钟信号;用sst模块为整点报时提供控制信号,(当59 50\"、52\"、54\"、56\"、58\"时,q500输出为”1”,秒为00时qlk输出为”1”,这两个信号经过逻辑或门实现报时功能);用sel模块提供数码管片选信号;用模块bbb将对应数码管信号送出需要的显示信号;用
  3. 所属分类:ASP源码

    • 发布日期:2008-10-13
    • 文件大小:6425
    • 提供者:索海铖
  1. ddk_v1_11_00_00

    0下载:
  2. DSP/BIOS Driver Developer Kit 1.11 The DSP/BIOS Driver Developer Kit (DDK) provides a selection of pre-tested DSP/BIOS device drivers, and documentation on how to write a driver to the DSP/BIOS driver model, known as I
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:4566796
    • 提供者:王野
  1. seller_controler

    0下载:
  2. it s been design to help the ATM seller to sell the goods easily and convenience.-it's been design to help the ATM seller to sel l the goods easily and convenience.
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:6573
    • 提供者:黄隽弦
  1. sel

    0下载:
  2. 使用javascr ipt实现datagrid的复选框全选的功能。
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:1763
    • 提供者:郝闯
  1. sel

    1下载:
  2. matlab源程序,自适应中值滤波器算法
  3. 所属分类:图形图像处理(光照,映射..)

    • 发布日期:2008-10-13
    • 文件大小:2697
    • 提供者:chengxuyuan
  1. sel_comV1.3

    0下载:
  2. 美国sel系列继电保护通讯源码,非dnp协议,采用模拟超级终端实现,具有实时电量显示,保护动作记录,故障录波等功能.
  3. 所属分类:通讯编程

    • 发布日期:2008-10-13
    • 文件大小:394275
    • 提供者:陈涛
  1. 自动售货机VHDL程序与仿真

    0下载:
  2. library ieee; use ieee.std_logic_arith.all; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity PL_auto1 is port ( clk:in std_logic; --系统时钟 set,get,sel,finish: in std_l
  3. 所属分类:文档资料

  1. 用VHDL语言实现四人智力竞赛抢答器的设计

    1下载:
  2. 1、用feng模块将选手按下按键信号输出高电平给锁存模块lockb,进行锁存的同时发出aim信号实现声音提示,并使count模块进行答题时间的倒计时,在计满100妙后送出声音提示; 2、用ch41a模块将抢答结果转换为二进制数; 3、用sel模块产生数码管片选信号; 4、用ch42a模块将对应数码管片选信号,送出需要的显示信号; 5、用七段译码器dispa模块进行译码。
  3. 所属分类:VHDL编程

  1. 郑大sel课件

    0下载:
  2. 所属分类:软件工程类

  1. ongame

    0下载:
  2. 一个游戏 the hardware for the game includes a number of displays, each with a button and -- a light, that each represent a bin that can store marbles (beans). -- -- The display indicates the number of marbles in eac
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-04
    • 文件大小:5120
    • 提供者:李清
  1. Sel

    0下载:
  2. JAVAscr ipt的一个选择类, 大家可以下载看看,这是我的一个作品!-JAVAscr ipt choice of a type, we can look at the downloaded, it is one of my works!
  3. 所属分类:ListView/ListBox

    • 发布日期:2024-06-04
    • 文件大小:8192
    • 提供者:asadf
  1. cbi

    0下载:
  2. 计算组合数公式C(ele,sel)=A(ele,sel)/sel! 不用递归实现,放心使用-calculation formula portfolio C (ele, sel) = A (ele, sel)/sel! Recursive not achieved, the use of confidence
  3. 所属分类:数学计算/工程计算

    • 发布日期:2024-06-04
    • 文件大小:1024
    • 提供者:廖月旺
  1. VX_DNP3.0

    1下载:
  2. 与保护、测控设备通讯的DNP3.0规约,与SEL公司部分产品完成通讯 Tornado2.0编译,无故障运行与研华HE-8XX系列主板3年以上 解压密码luckycy-and protection, monitoring and control equipment communications DNP3.0 Statute SEL with the completion of part of the company's c
  3. 所属分类:VxWorks

    • 发布日期:2024-06-04
    • 文件大小:18432
    • 提供者:电气工程师
  1. DS2020_2020_DS_20080530

    0下载:
  2. SEL DS2020 通讯管理装置通讯说明-SEL DS2020 UG
  3. 所属分类:能源行业(电力石油煤炭)

    • 发布日期:2024-06-04
    • 文件大小:480256
    • 提供者:鲍鱼
  1. SEL-551

    0下载:
  2. 美国SEL继电保护装置的技术说明书551很难弄到的呀,很实用的呀-U.S. SEL 551 relay device is difficult to get the technical specifications of ah, ah very useful
  3. 所属分类:技术管理

    • 发布日期:2024-06-04
    • 文件大小:2553856
    • 提供者:liuhuan
« 12 3 4 »

源码中国 www.ymcn.org