搜索资源列表

  1. maxshiyan

    0下载:
  2. 大学vhdl语言实验大全,基于max-plus2平台,内有8-3译码器,8位加法器,数字钟,数码显示,74ls138,8,4位计数器,d,rs触发器,加法器,交通灯等,此原码基于长江大学可编程器件实验箱,如要运行在其他平台上需要重新定义管脚-University VHDL language experiment Daquan, based on the max-plus2 platform within 8-3 decoder, 8 A
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:865899
    • 提供者:田晶昌
  1. VHDLgdewrrrrrrrrrrrr

    0下载:
  2. 本设计中选用目前应用较广泛的VHDL硬件电路描述语言,实现对路*通灯系统的控制器的硬件电路描述,通过编译、仿真,并下载到CPLD器件上进行编程制作,实现交通灯系统的控制过程。EDA技术是用于电子产品设计中比较先进的技术,可以代替设计者完成电子系统设计中的大部分工作,而且可以直接从程序中修改错误及系统功能而不需要硬件电路的支持,既缩短了研发周期,又大大节约了成本,受到了电子工程师的青睐。实现路*通灯系统的控制方法很多,可以用标准逻辑器
  3. 所属分类:Windows编程

    • 发布日期:2008-10-13
    • 文件大小:4245
    • 提供者:jazvy
  1. cross_lights

    0下载:
  2. 本设计中选用目前应用较广泛的VHDL硬件电路描述语言,实现对路*通灯系统的控制器的硬件电路描述,通过编译、仿真,并下载到CPLD器件上进行编程制作,实现交通灯系统的控制过程。EDA技术是用于电子产品设计中比较先进的技术,可以代替设计者完成电子系统设计中的大部分工作,而且可以直接从程序中修改错误及系统功能而不需要硬件电路的支持,既缩短了研发周期,又大大节约了成本,受到了电子工程师的青睐。实现路*通灯系统的控制方法很多,可以用标准逻辑器
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:4451
    • 提供者:dcy
  1. maxshiyan

    0下载:
  2. 大学vhdl语言实验大全,基于max-plus2平台,内有8-3译码器,8位加法器,数字钟,数码显示,74ls138,8,4位计数器,d,rs触发器,加法器,交通灯等,此原码基于长江大学可编程器件实验箱,如要运行在其他平台上需要重新定义管脚-University VHDL language experiment Daquan, based on the max-plus2 platform within 8-3 decoder, 8 A
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-08
    • 文件大小:865280
    • 提供者:田晶昌
  1. VHDLgdewrrrrrrrrrrrr

    0下载:
  2. 本设计中选用目前应用较广泛的VHDL硬件电路描述语言,实现对路*通灯系统的控制器的硬件电路描述,通过编译、仿真,并下载到CPLD器件上进行编程制作,实现交通灯系统的控制过程。EDA技术是用于电子产品设计中比较先进的技术,可以代替设计者完成电子系统设计中的大部分工作,而且可以直接从程序中修改错误及系统功能而不需要硬件电路的支持,既缩短了研发周期,又大大节约了成本,受到了电子工程师的青睐。实现路*通灯系统的控制方法很多,可以用标准逻辑器
  3. 所属分类:Windows编程

    • 发布日期:2024-06-08
    • 文件大小:4096
    • 提供者:jazvy
  1. FPGAwithVHDL2006

    0下载:
  2. EDA 实验原代码 包括数字脉冲 触发器 和交通灯等-EDA experimental original code including digital pulse triggers and traffic lights
  3. 所属分类:编程文档

    • 发布日期:2024-06-08
    • 文件大小:2048
    • 提供者:陈爽
  1. traffic_control

    0下载:
  2. 设计制作一个用于十字路口的交通灯控制器 有一组绿、黄、红灯用于指挥交通,绿灯、黄灯和红灯的持续时间分别为20秒、5秒和25秒; 当有特殊情况(如消防车、救护车等)时,两个方向均为红灯亮,计时停止,当特殊情况结束后,控制器恢复原状态,继续正常运行-design a crossroads for the traffic signal controller is a group in green, yellow and red li
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-08
    • 文件大小:2048
    • 提供者:飘来的南风
  1. cross_lights

    0下载:
  2. 本设计中选用目前应用较广泛的VHDL硬件电路描述语言,实现对路*通灯系统的控制器的硬件电路描述,通过编译、仿真,并下载到CPLD器件上进行编程制作,实现交通灯系统的控制过程。EDA技术是用于电子产品设计中比较先进的技术,可以代替设计者完成电子系统设计中的大部分工作,而且可以直接从程序中修改错误及系统功能而不需要硬件电路的支持,既缩短了研发周期,又大大节约了成本,受到了电子工程师的青睐。实现路*通灯系统的控制方法很多,可以用标准逻辑器
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-06-08
    • 文件大小:4096
    • 提供者:
  1. traffic

    0下载:
  2. 交通灯 实现东西南北四个路口的依次通车,还有紧急情况调整等功能-Traffic lights to achieve the North and the South East and West followed by the opening of the four junctions, as well as adjust the functions of emergency
  3. 所属分类:交通/航空行业

    • 发布日期:2024-06-08
    • 文件大小:1024
    • 提供者:吴称光
  1. light

    0下载:
  2. A方向和B方向各设红(R)、黄(Y)、绿(G)和左拐(L)4盏灯,4种灯按合理的顺序亮灭,并将时间以倒计时的形式显示出来。同时要设置两个方向的紧急通道模式,当某一方向有紧急事件发生时,交警按下紧急通道按钮,该方向绿灯亮,另外一个方向红灯亮,等紧急事件解除后(假定计时10s),交通恢复原来的状态 要求在数码管上显示两个方向的灯种和计时,实现紧急情况按钮输入功能 根据交通灯控制要实现的功能,可考虑用两个并行执行的always模
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-06-08
    • 文件大小:603136
    • 提供者:张星
  1. jioatongdeng

    0下载:
  2. 实现交通灯的基本功能,包括,红绿灯,特殊事件处理,倒计时等-The realization of the basic functions of traffic lights, including traffic lights, special event handling, countdown, etc.
  3. 所属分类:汇编语言

    • 发布日期:2024-06-08
    • 文件大小:101376
    • 提供者:xianxu
  1. Mars_EP1C6F_Comprehansive_demo(VHDL)

    0下载:
  2. FPGA开发板配套VHDL代码。芯片为Mars EP1C6F。综合实验的源码。包括交通灯实验等。-FPGA development board support VHDL code. Chips for the Mars EP1C6F. General experimental source. Experiments, including traffic lights.
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-08
    • 文件大小:805888
    • 提供者:chenlu
  1. 100vhdl_project

    0下载:
  2. 熟悉VHDL语言的小程序和.pdf文档,例如:乘法器、比较器和交通等设计等100个小例子,非常适合初学者。-Familiar with the VHDL language, applets and. Pdf documents, such as: multiplier, comparator and transportation design 100 small example, very suitable for beginners.
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-08
    • 文件大小:328704
    • 提供者:dengfeng
  1. TheLEDlightsbasedonVHDLprogram

    0下载:
  2. 基于VHDL实现LED等的控制,可作为后续交通灯设计的基础程序。已调试运行成功。-LED-based VHDL to achieve such control, can be used as the basis for follow-up procedures for traffic signal design. Has been successful commissioning.
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-08
    • 文件大小:299008
    • 提供者:戚澈
  1. Subway-automatic-ticket-system-VHDL

    0下载:
  2. VHDL的课程设计,包括地铁自动售票以及交通灯等设计-VHDL curriculum design, including a subway ticket and traffic lights design
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-08
    • 文件大小:562176
    • 提供者:陈鹏
  1. vhdl

    0下载:
  2. 交通灯的vhdl实现,实现完全交通等功能,可供调试-Traffic lights to achieve the VHDL, to achieve complete traffic and other functions, can be used for debugging
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-08
    • 文件大小:1147904
    • 提供者:liuyang
  1. vhdl

    0下载:
  2. vhdl状态机设计,文件简单详细易懂,可以使用在交通灯,文件配置等系统上。-vhdl state machine design, simple, detailed and easy to understand, you can use the traffic light system file configuration file.
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-08
    • 文件大小:5120
    • 提供者:张博天
  1. TrafficLight

    0下载:
  2. 使用vHDL设计交通等系统 EDA例程 -EDA routines vHDL design traffic system
  3. 所属分类:其他小程序

    • 发布日期:2024-06-08
    • 文件大小:312320
    • 提供者:lili
  1. streetlights-based-on-VHDL

    0下载:
  2. 本程序设计了一个基于FPGA的路灯控制系统,具有时控、声光控、交通控制的功能,即不但可通过对系统人工设定开关灯时间来完成其工作,也可通过采集实际环境的光信号和声音信号来控制路灯工作,还可以通过交通状况控制;此外可以通过故障检测功能,实现对路灯的故障检测,并且可以由七段数码管显示故障路灯编号;同时,利用热敏电阻等器件组成外部电路,用来检测电路温度,此电路具有报警功能,保证系统在正常温度范围内工作。在交通状况控制模式下,利用红外传感器探测
  3. 所属分类:硬件设计

    • 发布日期:2024-06-08
    • 文件大小:19456
    • 提供者:wang
  1. VHDL交通灯

    0下载:
  2. 利用VHDL写的交通等程序,代码在文档中,可以实现十字交通灯的各种状态模拟(Using the traffic program written by VHDL, the code can be used to simulate the various states of the cross traffic lights in the document.)
  3. 所属分类:文档资料

    • 发布日期:2024-06-08
    • 文件大小:106496
    • 提供者:xderrr
« 12 »

源码中国 www.ymcn.org