搜索资源列表

  1. 61EDA_C52

    0下载:
  2. 标准SDR SDRAM控制器参考设计,有助于大家学习和参考-Standard SDR SDRAM controller reference design will help everyone to learn and reference
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-18
    • 文件大小:205824
    • 提供者:王廷龙
  1. COPY_nand_TO_DRAM

    0下载:
  2. 用汇编写的2440复制nand到DRAM的代码-Written in 2440 with the compilation of NAND to DRAM copy of the code
  3. 所属分类:Linux/Unix编程

    • 发布日期:2024-05-18
    • 文件大小:2048
    • 提供者:mian
  1. D20CPUTEST

    0下载:
  2. 通过环路串口判断串行通信电平转换芯片功能是否正常,并通过读写DRAM 判断硬件。-Through the loop to determine serial communication serial port level translator chip features and whether it is normal, and through reading and writing DRAM hardware judge.
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-18
    • 文件大小:1024
    • 提供者:sdf168
  1. DDR

    1下载:
  2. 关于DDR SDRAM的详细原理和时序分析,对于开发设计有很大使用价值-DDR SDRAM on detailed principles and timing analysis, design for the development of a great value
  3. 所属分类:软件工程

    • 发布日期:2024-05-18
    • 文件大小:2201600
    • 提供者:王平
  1. main_boot

    0下载:
  2. 把flash的代码搬移到Dram的0xc-Flash code to move to the Dram of 0xC
  3. 所属分类:微处理器(ARM/PowerPC等)

    • 发布日期:2024-05-18
    • 文件大小:1024
    • 提供者:liujianke
  1. ARM_Boot_example

    0下载:
  2. 程序主要作用是通过串口下载VxWorks到ARM Flash ROM,主要过程是初始化ARM硬件,初始化串口UART1,从串口接收VxWorks image到DRAM,初始化Flash ROM.最后将DRAM中的VxWorks写入Flash ROM. ARM 串口与PC机串口连接,由PC机下载(download)VxWorks 到主板Flash ROM. 该程序对学习和理解ARM编程很有帮助.为了便于理解,这里我全部采用实际地址,
  3. 所属分类:VxWorks

    • 发布日期:2024-05-18
    • 文件大小:6144
    • 提供者:王斌
  1. 19264

    0下载:
  2. 管脚号 管脚名称 LEVER 管脚功能描述 1 VSS 0V 电源地 2 VDD 5.0V 电源电压 3 VEE 5.0V~(-13V) 液晶显示器驱动电压 4 D/I H/L D/I=“H”,表示DB7~DB0为显示数据 D/I=“L”,表示DB7~DB0为显示指令数据 5 R/W H/L R/W=“H”,E=“H”,数据被读到DB7~DB0 R/W=“L”,E=“H→L”, DB7~DB0的
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-18
    • 文件大小:1024
    • 提供者:梁军
  1. 2440_Test

    0下载:
  2. arm 体系结构常见模块的测试代码,dram,dam,nand,sd,camer.lcd,adc等-common architecture arm test code modules, dram, dam, nand, sd, camer.lcd, adc, etc.
  3. 所属分类:Windows CE

    • 发布日期:2024-05-18
    • 文件大小:4660224
    • 提供者:chenlixiang
  1. mm-dram

    0下载:
  2. This module implements a Linux character-mode device-driver for the processor s installed physical memory. It utilizes the kernel s kmap() function, as a uniform way to provide access to all the memory-zones (incl
  3. 所属分类:驱动编程

    • 发布日期:2024-05-18
    • 文件大小:8192
    • 提供者:jeevan
  1. 8202R_071217

    0下载:
  2. SUNPLUS 8202R DVD 源代码!1x16m dram-SUNPLUS 8202R DVD source! 1x16m dram
  3. 所属分类:其他小程序

    • 发布日期:2024-05-18
    • 文件大小:24134656
    • 提供者:严建军
  1. ex

    0下载:
  2. 扫描线算法,计算机图形学中的小练习,用以帮助忙不过来的广大人民-Scan the edges to dram
  3. 所属分类:2D图形编程

    • 发布日期:2024-05-18
    • 文件大小:1925120
    • 提供者:lyx
  1. [DATASHEET-066]Integrated.Silicium.Solution.Inc.r

    0下载:
  2. Huge set of Integrated Sillicium Solution Inc (ISSC) datasheets. Includes synchronous/asynchronous SRAM, DRAM, serial EEPROM, MCU, serial/parallel flash, and a whole bunch of other stuff.
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2024-05-18
    • 文件大小:21065728
    • 提供者:Bubby
  1. mm1n

    0下载:
  2. M/M/1/N 排队模型仿真,计算DRAM刷新能量,以及包丢失率理论值和仿真值的比较。-M/M/1/N queuing model simulation, computational power DRAM refresh, and the packet loss rate of the theoretical values and simulation values of the comparison.
  3. 所属分类:Internet/网络编程

    • 发布日期:2024-05-18
    • 文件大小:57344
    • 提供者:ethan
  1. SDRAM_TOOLS

    0下载:
  2. 本插栏是对DRAM操作的形象性描述,谨供辅助性理解本专题,严谨的操作说明见上文。另外,在此请各位读者注意,将内存比喻为仓库只是为了形象化描述,而不要把内存等同理解为存储,它们是有本质的不同的,在本文的比喻中,它只是一个临时性仓库,这一点请大家分清,不要因此产生新的错误概念。
  3. 所属分类:Linux/Unix编程

    • 发布日期:2024-05-18
    • 文件大小:1482752
    • 提供者:王鑫
  1. rd1020

    0下载:
  2. Synchronous DRAM (SDRAM) has become a mainstream memory of choice in embedded system memory design due to its speed, burst access and pipeline features. For high-end applications using processors such as Motorola MPC
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-18
    • 文件大小:19456
    • 提供者:phwer01
  1. ReportManage

    0下载:
  2. 从Aceess数据库中查找数据,使用这些数据绘图,并把这些数据倒入execl表中。-Select data from access database,and dram a graph with the data,then export into a execl sheet.
  3. 所属分类:数据库编程

    • 发布日期:2024-05-18
    • 文件大小:223232
    • 提供者:王成
  1. socdesignandtest

    0下载:
  2. SoC是系统级集成,将构成一个系统的软/硬件集成在一个单一的IC芯片里,它一般包含片上总线、MPU核、SDRAM/DRAM、FLASH ROM、DSP、A/D、D/A、RTOS内核、网络协议栈、嵌入式实时应用程序等模块,同时,它也具有外部接口,如外部总线接口和I/O端口。通常,SoC中包含的一些模块是经过预先设计的系统宏单元部件(Macrocell)或核(Cores) ,或者例程(Routines),称为IP模块,这些模块都是可配置的
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-18
    • 文件大小:319488
    • 提供者:yyj
  1. spd

    1下载:
  2. 用户使用这个程序,可以通过SMBUS来访问内存SPD信息,-access DRAM SPD message
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-18
    • 文件大小:2048
    • 提供者:redboyang
  1. an006

    0下载:
  2. Design of a DRAM Controller
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2024-05-18
    • 文件大小:71680
    • 提供者:Aminus
  1. ram_dual

    0下载:
  2. Design of a DRAM of any bit
  3. 所属分类:VHDL编程

« 1 2 3 45 6 7 »

源码中国 www.ymcn.org