搜索资源列表

  1. pingpang

    0下载:
  2. VB学习时自己的习作,不好的地方请多多指教!-VB learning their assignments, the bad things a great weekend!
  3. 所属分类:Windows编程

    • 发布日期:2008-10-13
    • 文件大小:142408
    • 提供者:aa
  1. pingpang

    0下载:
  2. 一个用java写的乒乓球游戏,很不错的,大家可以试一下
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:35312
    • 提供者:steven
  1. pingpang

    0下载:
  2. 实现乒乓缓存,用verilog语言编写!
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:166585
    • 提供者:zhl
  1. pingpang

    0下载:
  2. 这是一个乒乓球游戏的程序,按ESC退出,有判断球反弹或出界的功能。
  3. 所属分类:Windows编程

    • 发布日期:2008-10-13
    • 文件大小:2004
    • 提供者:gigi011111
  1. pingpang

    1下载:
  2. 一个用verilog写的简单的乒乓球程序,用来在VGA上显示小球和挡板
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:2045
    • 提供者:kljlj
  1. SRAM-PINGPANG

    0下载:
  2. 超声视频图像需要实时地采集并在处理后在显示器上重建,图像存储器就必须不断地写入数据,同时又要不断地从存储器读出数据送往后端处理和显示[11]。为了满足这种要求,可以在采集系统中设置2片容量一样的SRAM,通过乒乓读写机制来管理。任何时刻,只能有1片SRAM处于写状态,同时也只有1片SRAM处于读状态。工作期间,2片SRAM都处于读写状态轮流转换的过程,转换的过程相同,但是状态错开,从而保证数据能连续地写人和读出祯存.
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:1214
    • 提供者:smj1980
  1. pingpang

    0下载:
  2. VB学习时自己的习作,不好的地方请多多指教!-VB learning their assignments, the bad things a great weekend!
  3. 所属分类:Windows编程

    • 发布日期:2024-06-10
    • 文件大小:142336
    • 提供者:
  1. pingpang

    0下载:
  2. 一个用java写的乒乓球游戏,很不错的,大家可以试一下-Using java to write a table tennis game, it is good, everyone can try
  3. 所属分类:其他游戏

    • 发布日期:2024-06-10
    • 文件大小:34816
    • 提供者:steven
  1. pingpang

    0下载:
  2. 实现乒乓缓存,用verilog语言编写!-Realize cache ping-pong, using Verilog language!
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-10
    • 文件大小:165888
    • 提供者:zhl
  1. pingpang

    0下载:
  2. 一个用verilog写的简单的乒乓球程序,用来在VGA上显示小球和挡板-Using Verilog to write a simple table procedures, used in the VGA display of small ball and baffle
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-10
    • 文件大小:2048
    • 提供者:kljlj
  1. SRAM-PINGPANG

    0下载:
  2. 超声视频图像需要实时地采集并在处理后在显示器上重建,图像存储器就必须不断地写入数据,同时又要不断地从存储器读出数据送往后端处理和显示[11]。为了满足这种要求,可以在采集系统中设置2片容量一样的SRAM,通过乒乓读写机制来管理。任何时刻,只能有1片SRAM处于写状态,同时也只有1片SRAM处于读状态。工作期间,2片SRAM都处于读写状态轮流转换的过程,转换的过程相同,但是状态错开,从而保证数据能连续地写人和读出祯存.-Real-time
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-10
    • 文件大小:1024
    • 提供者:smj1980
  1. pingpang

    0下载:
  2. 利用8255,8253等芯片,用汇编语言编写的模拟乒乓球比赛的小程序-8255,8253, such as the use of chips, using assembly language written small game table tennis simulation procedures
  3. 所属分类:文档资料

    • 发布日期:2024-06-10
    • 文件大小:14336
    • 提供者:tianma
  1. pingpang

    0下载:
  2. FPGA设计中乒乓设计的源代码-fpga pingpang source
  3. 所属分类:通讯/手机编程

    • 发布日期:2024-06-10
    • 文件大小:162816
    • 提供者:王头
  1. pingpang

    0下载:
  2. 关于乒乓操作的,对于数据缓存有很大的用处-On the ping-pong operation of data cache for the great usefulness of
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-10
    • 文件大小:166912
    • 提供者:敬亮
  1. pingpang

    0下载:
  2. 本实验在实验室实现了对于简易的乒乓球游戏的模拟,以发光二极管的移动来模拟乒乓球的移动,转向表示击球,并实现积分。-In this study, achieved in the lab for a simple table tennis game simulation, in order to light-emitting diodes to simulate the movement of table tennis movement,
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-10
    • 文件大小:325632
    • 提供者:wanjiabao
  1. pingpang

    0下载:
  2. 基于FPGA的乒乓球游戏。。VHDL语言-FPGA-based table tennis game. . VHDL language. .
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-10
    • 文件大小:1024
    • 提供者:syf
  1. Pingpang

    0下载:
  2. 乒乓球游戏 基于FPGA 这是一个模拟的游戏-PINGPANG
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-10
    • 文件大小:13312
    • 提供者:土豆
  1. Altera-DE2-70-pingpang

    0下载:
  2. 所属分类:VHDL编程

    • 发布日期:2024-06-10
    • 文件大小:1425408
    • 提供者:tony.wang
  1. pingpang

    0下载:
  2. 电设乒乓球那题stm32 实现了数码管计分等要求-play pingpang on oscillograph
  3. 所属分类:微处理器(ARM/PowerPC等)

    • 发布日期:2024-06-10
    • 文件大小:3072
    • 提供者:wangfuwei
  1. pingpang

    0下载:
  2. FIFO读写,用使用状态机完成两片FIFO读写,乒乓操作。-FIFO read and write, using the state machine complete with two FIFO read and write, ping-pong operation.
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-10
    • 文件大小:6144
    • 提供者:芦山
« 12 3 4 »

源码中国 www.ymcn.org