搜索资源列表

  1. sdr_sdram

    0下载:
  2. 详细的SDRAM控制器HDL代码,最顶层代码,很清晰-detailed SDRAM controller HDL code top-level code, it was very clear
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:2941
    • 提供者:陈建勇
  1. sdr_sdram

    0下载:
  2. SDRAM顶层模块,大家可以看看,学习一下模块的化分
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:1762
    • 提供者:大哥
  1. sdr_sdram

    0下载:
  2. 很好用的!很好用的代码1SDRAM的源码!一定有用
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:1881
    • 提供者:陈吉成
  1. sdr_sdram

    0下载:
  2. 详细的SDRAM控制器HDL代码,最顶层代码,很清晰-detailed SDRAM controller HDL code top-level code, it was very clear
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-29
    • 文件大小:3072
    • 提供者:陈建勇
  1. sdr_sdram

    0下载:
  2. SDRAM顶层模块,大家可以看看,学习一下模块的化分-SDRAM module top-level, we will look at and learn about modules of sub-
  3. 所属分类:其他小程序

    • 发布日期:2024-05-29
    • 文件大小:1024
    • 提供者:大哥
  1. sdr_sdram

    0下载:
  2. 很好用的!很好用的代码1SDRAM的源码!一定有用-Good use! Good use of source code 1SDRAM! Certainly useful
  3. 所属分类:其他小程序

    • 发布日期:2024-05-29
    • 文件大小:2048
    • 提供者:陈吉成
  1. sdr_sdram

    0下载:
  2. 文章详细讲述了sdr_sdram控制器的使用和编程思想-sdr_sdram
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-29
    • 文件大小:701440
    • 提供者:chentao
  1. sdr_verilog

    0下载:
  2. 用Verilog实现SDR_SDRAM的控制器,可用FPGA实现对普通SDRAM的读写操作!-SDR_SDRAM using Verilog implementation of the controller, the FPGA can be used to achieve the ordinary SDRAM read and write operations!
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-29
    • 文件大小:37888
    • 提供者:许文建
  1. sdr_sdram

    0下载:
  2. sdram控制器顶层模块的VHDL源程序文件,可直接用-sdr SDRAM
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-29
    • 文件大小:3072
    • 提供者:liuzhijun
  1. sdr_sdram

    0下载:
  2. sdram控制器,verilog语言写的-sdram controller, verilog language to write
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-29
    • 文件大小:2048
    • 提供者:xwj
  1. SDR_SDRAM_IP

    0下载:
  2. SDR SDRAM 控制器,Altera官网重要资料。内涵说明文档,和VHDL与Verilog两种设计IP。-SDR SDRAM controller from Altera
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-29
    • 文件大小:2360320
    • 提供者:peteryu010
  1. sdr_sdram

    0下载:
  2. 用FPGA实现SDRAM的控制,主要是将SDRAM的时序搞懂,这个很好做出来了。-Using FPGA realize SDRAM control, mainly the SDRAM timing out, this is very good do.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-29
    • 文件大小:2570240
    • 提供者:hanbo
  1. sdr_sdram

    0下载:
  2. sdram使用接口仿真,altera公司ip使用方法(sdram verilog. SDRAM using interface simulation, Altera company IP use method)
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-29
    • 文件大小:12288
    • 提供者:风雪来

源码中国 www.ymcn.org