搜索资源列表

  1. urat

    0下载:
  2. 介绍了如何实现URAT。介绍了如何用VERILOG代码实现URAT。
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2008-10-13
    • 文件大小:22792
    • 提供者:xiexiao
  1. URAT

    0下载:
  2. URAT的VHDL设计及时序仿真、调试、测试。含有波形图
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:2304
    • 提供者:xiaoxi
  1. URAT_VHDL

    0下载:
  2. URAT VHDL程序与仿真 各程序运行环境为MAXPLUS_-UART procedures and VHDL simulation environment for the operation of the procedures for MAXPLUS_
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-04
    • 文件大小:93184
    • 提供者:王光辉
  1. URAT

    0下载:
  2. 最常见的rs232通信的vhdl实现,经过实际使用验证-The most common communication RS232 VHDL realize, through actual use of authentication
  3. 所属分类:通讯编程

    • 发布日期:2024-06-04
    • 文件大小:32768
    • 提供者:*
  1. urat

    0下载:
  2. 介绍了如何实现URAT。介绍了如何用VERILOG代码实现URAT。-Introduce how to realize URAT. Introduce how to use Verilog code URAT.
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2024-06-04
    • 文件大小:22528
    • 提供者:xiexiao
  1. UART_VHDL

    0下载:
  2. URAT异步通信接口的VHDL描述,可综合-URAT asynchronous communication interface VHDL descr iption can be integrated
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-04
    • 文件大小:667648
    • 提供者:luyingc
  1. URAT

    0下载:
  2. MSP430F1611串口程序 MSP430F1611串口程序-MSP430F1611 serial serial procedures procedures MSP430F1611
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-06-04
    • 文件大小:1024
    • 提供者:刘强
  1. URAT

    0下载:
  2. URAT的VHDL设计及时序仿真、调试、测试。含有波形图-URAT the VHDL design and timing simulation, debugging, testing. Waveform contains
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-04
    • 文件大小:2048
    • 提供者:xiaoxi
  1. urat

    0下载:
  2. tell you how to use the msp430f149 urat
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-06-04
    • 文件大小:87040
    • 提供者:mo
  1. VHDLprogram

    0下载:
  2. VHDL的程序包,包括LED控制,LCD控制、DAC0832接口电路、URAT、FSK\PSK\MASK调制、波形发生器等。适合工程参考-VHDL package, including the LED control, LCD control, DAC0832 Interface Circuit, URAT, FSK \ PSK \ MASK modulation, such as waveform generator. Referen
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-04
    • 文件大小:1133568
    • 提供者:
  1. FPGA-URAT

    0下载:
  2. FPGA与PC串口自动收发程序,verilog源程序-FPGA and the PC serial port automatically sending and receiving process, verilog source code
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-04
    • 文件大小:3072
    • 提供者:niuqs
  1. URAT_VHDL

    0下载:
  2. URAT VHDL程序与仿真,包括顶层程序与仿真,波特率发生器VHDL程序, UART发送器程序与仿真,UART接收器程序与仿真-URAT VHDL procedures and simulation, including the top-level procedures and simulation, VHDL program baud rate generator, UART transmitter and simulation p
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-04
    • 文件大小:32768
    • 提供者:葛棋棋
  1. uart

    0下载:
  2. urat 接收模块,大家看看,多多交流-urat
  3. 所属分类:串口编程

    • 发布日期:2024-06-04
    • 文件大小:5120
    • 提供者:y
  1. URAT-VHDL

    0下载:
  2. 关于URAT的VHDL程序设计与仿真,仿真通过-With regard to URAT the VHDL program design and simulation, simulation by
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-04
    • 文件大小:32768
    • 提供者:海玲
  1. urat

    0下载:
  2. 8051串口驱动,简易接口,与MAX232使用-max232
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-06-04
    • 文件大小:2048
    • 提供者:程门门
  1. URAT

    0下载:
  2. Verilog硬件描述语言,RS232串口发送接收程序-Verilog hardware descr iption language, RS232 serial port send and receive program
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-04
    • 文件大小:1024
    • 提供者:zhaoyf
  1. URAT-VHDL

    0下载:
  2. URAT VHDL程序与仿真,各位可以利用一下,或者参考一下-URAT VHDL and simulation program, you can look at, or reference
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-04
    • 文件大小:2048
    • 提供者:周三强
  1. mini-UART

    0下载:
  2. URAT资料,用verilog HDL编写,具有完整的信号描述和功能-URAT data write complete signal descr iption and function, with verilog HDL
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-04
    • 文件大小:51200
    • 提供者:牛玉祥
  1. 8.8-URAT-VHDL

    0下载:
  2. URAT VHDL程序与仿真 URAT the VHDL program and Simulation-URAT the VHDL program and Simulation
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-04
    • 文件大小:32768
    • 提供者:ll
  1. URAT

    0下载:
  2. 使用VHDL程序,编写的URAT 与仿真功能-The use of VHDL procedures and simulation functions written URAT
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-04
    • 文件大小:32768
    • 提供者:ludongdong
« 12 3 4 5 6 »

源码中国 www.ymcn.org