搜索资源列表

  1. xia_yuwen_verilog_PPT

    0下载:
  2. 北航夏雨闻的Verilong课件,与夏雨闻写的Verilog数字系统设计教程配套
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:514008
    • 提供者:xiaotian
  1. 16bitadder

    0下载:
  2. 16位快速加法器verilong实现,很值得一看~
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:2832
    • 提供者:屈开
  1. verilog

    0下载:
  2. 有例程和VERILONG语言的描述,可能对初学者有用.如果谁有好的VERILONG自己写的程序,请大家上传一些,借鉴一下
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:113606
    • 提供者:
  1. miaobiao

    0下载:
  2. 数字秒表,有分,秒,毫秒,精确度极高。使用verilong语言,程序短小精炼,非常值得参考。
  3. 所属分类:汇编语言

    • 发布日期:2008-10-13
    • 文件大小:46130
    • 提供者:李立
  1. verilog例子大全

    0下载:
  2. 包含各种verilong HDL语言的编程源代码,全加器,计数器,选择器,加法器,波形发生器等以及阻塞赋值非阻塞赋值的使用例子,七段数码管显示译码器等等
  3. 所属分类:源码下载

  1. xia_yuwen_verilog_PPT

    0下载:
  2. 北航夏雨闻的Verilong课件,与夏雨闻写的Verilog数字系统设计教程配套-BUAA Xiayu Verilong heard courseware, and Xia Yu Wen wrote Verilog Digital System Design Tutorial support
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-29
    • 文件大小:514048
    • 提供者:xiaotian
  1. 16bitadder

    0下载:
  2. 16位快速加法器verilong实现,很值得一看~
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-29
    • 文件大小:3072
    • 提供者:屈开
  1. verilog

    0下载:
  2. 有例程和VERILONG语言的描述,可能对初学者有用.如果谁有好的VERILONG自己写的程序,请大家上传一些,借鉴一下-Have routines and VERILONG descr iption language may be useful for beginners. If good VERILONG who write their own procedures, please upload some U.S. learn fr
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-29
    • 文件大小:113664
    • 提供者:
  1. miaobiao

    0下载:
  2. 数字秒表,有分,秒,毫秒,精确度极高。使用verilong语言,程序短小精炼,非常值得参考。-Digital stopwatch, who, seconds, milliseconds, a very high accuracy. Verilong language used, procedures short refining, very worth considering.
  3. 所属分类:汇编语言

    • 发布日期:2024-05-29
    • 文件大小:46080
    • 提供者:李立
  1. 29becbce-7f76-454c-9f85-fb6138f83375

    0下载:
  2. cpu IP 核设计的verilong代码-cpu IP core design code verilong
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-29
    • 文件大小:104448
    • 提供者:mypudn
  1. DA_TLC5620

    0下载:
  2. 用verilong hdl语言编写的数据采样程序,A/D采用的是TLC5260-Verilong hdl language used data sampling procedures, A/D using the TLC5260
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-29
    • 文件大小:761856
    • 提供者:李俭
  1. examples

    0下载:
  2. Verilong 经典例子 王金明:《Verilog HDL 程序设计教程》-Wang Jinming Verilong classic example: " Verilog HDL Design Tutorial"
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-29
    • 文件大小:21504
    • 提供者:朱大海
  1. DE2_TV_PAL

    0下载:
  2. video信号pal制转vga输出,fpga verilong语言编写-fpga pal to vga ,writed in verilog
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-29
    • 文件大小:2042880
    • 提供者:james
  1. uart

    0下载:
  2. fpga 串行口 接收和发送程序,采用verilong语言编写-fpga uart ,receive and send include writed by verilog language
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2024-05-29
    • 文件大小:371712
    • 提供者:james
  1. verilogRS

    0下载:
  2. 该文件为基于fpga的RS(204.188)译码器的verilong源代码,使用的Quartus II的开发环境,已经通过编译,需要者可以自己下载在编译简历工程使用-The document is based on fpga' s RS (204.188) decoder verilong source code, use the Quartus II development environment, has been compi
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-29
    • 文件大小:13312
    • 提供者:bobo
  1. lcd

    1下载:
  2. verilong实现的12864液晶驱动程序,显示一幅图像-verilong achieved 12864 LCD driver, display an image
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-29
    • 文件大小:2048
    • 提供者:wait
  1. ScanKey

    0下载:
  2. 在FPGA中用verilog编写的键盘扫描程序,返回时有中断-the program write by verilong which use to scan the switch and return inturrupt in fpga.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-29
    • 文件大小:1024
    • 提供者:zhang
  1. ps2

    0下载:
  2. ps2接口 用Verilong编写。经过测试无误。用于键盘 鼠标等设配与cpu通信使用。-Transmission of the overall fr a mework. Tsinghua University s pilot project. Get state funds to support 863 projects
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-29
    • 文件大小:24576
    • 提供者:柳承化
  1. 1602

    0下载:
  2. 几个lcd1602的Verilog程序,简单易懂,想学用lcd1602的可以下来看看!-Several lcd1602 the Verilog program, easy to understand, want to learn to use lcd1602 the can down to see!
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-29
    • 文件大小:2643968
    • 提供者:SHIBI
  1. lift-verilogHDL

    0下载:
  2. 利用verilog语言实现一个简单的电梯控制,可借助最小系统开发板进行试验-control lift by using verilong HDL
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-29
    • 文件大小:1024
    • 提供者:吴国强
« 12 3 »

源码中国 www.ymcn.org