搜索资源列表

  1. 基于CPLD的VHDL语言数字钟(含秒表)设计

    0下载:
  2. 基于CPLD的VHDL语言数字钟(含秒表)设计
  3. 所属分类:VHDL编程

  1. 99秒秒表

    0下载:
  2. VHDL语言,99秒秒表,已测试成功。
  3. 所属分类:VHDL编程

  1. byvhdstopwatchl

    1下载:
  2. 1.高精度数字秒表(0.01秒的vhdl语言实现) 2.具有定时,暂停,按键随机存储,翻页回放功能; 3.对30M时钟分频产生显示扫描时钟 4.精度高达0.01s,并且可以通过改变主频来更改分频比和记数间隔,可控性高。 5.模块化设计,其中的许多函数可以成为vhdl语言的通用经典例子(包含分频电路设计,动态扫描时钟设计,译码电路设计,存储器设计,存储回放显示设计)-1. High-precision digital s
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-13
    • 文件大小:2048
    • 提供者:方周
  1. DigitalssStopwatch

    0下载:
  2. 本秒表计时器用于体育竞赛及各种要求有较精确时的各领域。此计时器是用一块专用的芯片,用VHDL语言描述的。它除开关、时钟和显示功能以外,它还包括1/100s计时器所有的控制和定时功能,其体积小,携带方便。-the stopwatch timer for the various sports competitions and requires more accurate at the various fields. This timer i
  3. 所属分类:软件工程

    • 发布日期:2024-06-13
    • 文件大小:7168
    • 提供者:段苛苛
  1. SIJTQ6tQ

    0下载:
  2. 利用一块芯片完成除时钟源、按键、扬声器和显示器(数码管)之外的所有数字电路功能。所有数字逻辑功能都在CPLD器件上用VHDL语言实现。这样设计具有体积小、设计周期短(设计过程中即可实现时序仿真)、调试方便、故障率低、修改升级容易等特点。 本设计采用自顶向下、混合输入方式(原理图输入—顶层文件连接和VHDL语言输入—各模块程序设计)实现数字钟的设计、下载和调试。 一、 功能说明 已完成功能 1. 完成秒/分/时的依次
  3. 所属分类:压缩解压

    • 发布日期:2024-06-13
    • 文件大小:677888
    • 提供者:luoliang
  1. watch

    0下载:
  2. vhdl语言编写的一个秒表源码,包括在LCD上显示的部分,附带TB源码,对初学者比较实用-VHDL language, a stopwatch source, including the LCD display part, incidental TB source, more practical for beginners
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-13
    • 文件大小:98304
    • 提供者:ronniy
  1. VHDLdesign

    1下载:
  2. EDA课程设计,包含源码和文档说明,实现秒表计数和闹钟功能,使用VHDL语言编写 已完成功能 1. 完成时/分/秒的依次显示并正确计数,利用六位数码管显示; 2. 时/分/秒各段个位满10正确进位,秒/分能做到满60向前进位,有系统时间清零功能; 3. 定时器:实现整点报时,通过扬声器发出高低报时声音; 4. 时间设置,也就是手动调时功能:当认为时钟不准确时,可以分别对分/时钟进行调整; 5. 闹钟:实现分
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-13
    • 文件大小:18432
    • 提供者:liuxin
  1. time

    0下载:
  2. vhdl语言编写秒表程序 内含每个模块的源程序-VHDL language stopwatch program includes source code for each module
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-13
    • 文件大小:235520
    • 提供者:BILL
  1. stopwatch

    0下载:
  2. VHDL语言设计的秒表,实现计时功能,实现报时功能,并且通过硬件实验。-VHDL language design stopwatch, timer function of the realization, the realization of time functions, and through hardware experiments.
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-13
    • 文件大小:1647616
    • 提供者:王蕊
  1. Stopwatchprecisiondesign

    0下载:
  2. 高精度秒表设计,VHDL语言设计,课程设计,word版-Stopwatch precision design, VHDL language design, curriculum design, word version
  3. 所属分类:教育/学校应用

    • 发布日期:2024-06-13
    • 文件大小:5120
    • 提供者:hekan
  1. deCPLDVHDLshijong

    0下载:
  2. 基于CPLD的VHDL语言数字钟(含秒表)设计 利用一块芯片完成除时钟源、按键、扬声器和显示器(数码管)之外的所有数字电路功能。所有数字逻辑功能都在CPLD器件上用VHDL语言实现。这样设计具有体积小、设计周期短(设计过程中即可实现时序仿真)、调试方便、故障率低、修改升级容易等特点。 本设计采用自顶向下、混合输入方式(原理图输入—顶层文件连接和VHDL语言输入—各模块程序设计)实现数字钟的设计、下载和调试。 -CPLD
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2024-06-13
    • 文件大小:95232
    • 提供者:wuhuisong
  1. daima

    0下载:
  2. 用VHDL语言设计一个数字秒表: 1、 秒表的计时范围是0秒~59分59.99秒,显示的最长时间为59分59秒。 2、 计时精度为10MS。 3、 复位开关可以随时使用,按下一次复位开关,计时器清零。 4、 具有开始/停止功能,按一下开关,计时器开始计时,再按一下,停止计时。系统设计分为几大部分,包括控制模块、时基分频模块、计时模块和显示模块等。其中,计时模块有分为六进制和十进制计时器。计时是对标准时钟脉冲计数。计数器由
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-13
    • 文件大小:5120
    • 提供者:SAM
  1. VHDL

    1下载:
  2. (1)用VHDL语言编写程序,在EDA实验板上实现 (2)能正常计时。显示模式分为两种,即24小时制和12小时制。其中12小时制须显示上,下午(用指示灯显示)。时,分,秒都要显示。 (3). 手动校准电路。用一个功能选择按钮选择较时,分功能,用另一个按钮调校对应的时和分的数值。 用VHDL语言编写程序,在EDA实验板上实现 (4) 整点报时。 (5). 闹钟功能。 (6).秒表功能。-(1) using VHDL
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-13
    • 文件大小:4096
    • 提供者:malon
  1. clock

    0下载:
  2. 秒表的verilog语言实现,个人课程设计代码,已验证!实现显示秒,分,时暂停,修正等功能。-Stopwatch' s verilog language implementation, personal curriculum design, code, and has been verified! Implementation show seconds, minutes, suspended, amendment and othe
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-13
    • 文件大小:2048
    • 提供者:张文宝
  1. shuzimiaobiaoVHDL

    0下载:
  2. 数字秒表的VHDL语言实现,由于系统定时器8253每秒中断18.2次,利用INT 1AH/00H取得中断次数(DX),得到54.945ms的定时单位。 -Digital stopwatch the VHDL language, because the system timer interrupt 18.2 times per second, 8253, made use of INT 1AH/00H interrupt numbe
  3. 所属分类:其他小程序

    • 发布日期:2024-06-13
    • 文件大小:4096
    • 提供者:田有林
  1. vhdl

    0下载:
  2. 基于fpga的vhdl语言,芯片是ep2c8系列,此代码实现的是秒表显示,毫秒到分的数码管显示,数码管是共阳的,分模块设计的,-The vhdl fpga-based language, the chip is ep2c8 series, this code is implemented stopwatch showed milliseconds to-point digital control, digital control is
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-13
    • 文件大小:2525184
    • 提供者:liyu
  1. Digital-stopwatch-design

    0下载:
  2. 数字秒表的设计报告,用VHDL语言编写程序,实现分析讨论中各种功能,分别进行编译并生成相应的模块,然后将这些模块连接起来形成电路图,并进行编译、仿真。-Digital stopwatch design reports, using VHDL language programming, analysis and discussion of various functions to achieve, respectively, to com
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-13
    • 文件大小:375808
    • 提供者:吴亮
  1. stopwatch-VHDL

    0下载:
  2. 自己用VHDL语言写的一个秒表程序,包括秒,分秒和百分秒。有程序说明和VHDL代码,一看就懂-Own use VHDL language used to write a stopwatch program, including the seconds, minutes and seconds and hundredths of a second. There descr iption of the procedures and VHDL
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-13
    • 文件大小:183296
    • 提供者:conley
  1. stopwatch-based-on-VHDL

    0下载:
  2. 基于VHDL的电子秒表的设计,使用VHDL语言描述一个秒表电路,利用QuantusII软件进行源程序设计,编译,仿真,最后形成下载文件下载至装有FPGA芯片的实验箱,进行硬件测试,要求实现秒表功能。-Design of electronic stopwatch based on VHDL
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-13
    • 文件大小:1024
    • 提供者:煌釨
  1. 秒表

    0下载:
  2. 基于VHDL语言实现秒表的计时、倒计时的功能。(The function of timing and countdown of the stopwatch based on VHDL language.)
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-13
    • 文件大小:446464
    • 提供者:水盼
« 12 3 4 »

源码中国 www.ymcn.org