搜索资源列表

  1. 仿真

    0下载:
  2. 几个基阵信号处理的源程序,供大家参考学习。-array signal processing of the source, for your reference study.
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:1016124
    • 提供者:许晓凡
  1. matlab实现几种基本的通信仿真技术

    0下载:
  2. 这是我收集的几个通信基础理论的Matlab仿真实现,包括bpsk、qpsk、ofdm以及信号衰减和延迟的仿真。尽管不是我本人原创,但比较都经典。文件为*.m格式-This is my collection of several communications infrastructure theory Matlab Simulation, including BPSK, QPSK, and the OFDM signal attenuat
  3. 所属分类:通讯编程

    • 发布日期:2008-10-13
    • 文件大小:5691
    • 提供者:武昌盛
  1. 自适应信号处理

    1下载:
  2. 《自适应信号处理》书中各个章节的matlab仿真的源程序!对于学习自适应信号处理的非常有用!-"adaptive signal processing" all the chapters of the book Matlab simulation of the source! Learning adaptive signal processing is very useful!
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:33432
    • 提供者:李锦
  1. work(4)

    0下载:
  2. 我做了很久的,自认很满意。有关信号仿真,信号频谱分析,滤波器的很多很多.m文件。-I do for a long time and considers himself very satisfied. The signal simulation, signal spectrum analysis, many filter. M documents.
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:22091
    • 提供者:sdd
  1. gps_iq_model

    0下载:
  2. GPS接收机的i/q信号仿真模型,提供四种工作模式,可用于初始化、重启、更新、产生iq信号,以检验接收机的各项指标。-GPS receiver i / q signal simulation model offers four modes can be used to initialize the restart, updating, have iq signal receiver to test the various indicat
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:9498
    • 提供者:龙铮
  1. suijixinhao

    0下载:
  2. 自己编的随机信号仿真,请大家提出宝贵意见。-own addendum to the random signal simulation, you please raise your valuable advice.
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:16002
    • 提供者:李博
  1. MATLAB-UWB

    0下载:
  2. 基于MATLAB的UWB信号仿真的文章,希望对大话有所帮助-MATLAB-based UWB signal simulation of the article, and I hope to talk some help
  3. 所属分类:文件操作

    • 发布日期:2008-10-13
    • 文件大小:122335
    • 提供者:wang
  1. Chirp0_grt_rtw

    0下载:
  2. chirp信号仿真,用于超宽带通信系统中,编码简单易懂-chirp signal simulation for UWB communication systems, easy-to-read code
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:19781
    • 提供者:张文杰
  1. 线性调频(LFM)脉冲压缩雷达仿真 - 副本

    0下载:
  2. 线性调频信号脉冲压缩的原理及matlab仿真的详细步骤,进一步理解雷达成像的基础知识(The principle of pulse compression of LFM signal and the detailed steps of MATLAB simulation further understand the basic knowledge of radar imaging)
  3. 所属分类:文档资料

    • 发布日期:2024-05-20
    • 文件大小:165888
    • 提供者:清石
  1. 不同调制样式和不同雷达辐射源个体的雷达信号

    1下载:
  2. 本文件提供了不同调制类型的雷达辐射源信号,可以运用于雷达调制识别。此外,提供了对不同雷达个体进行建模仿真,可运用于雷达指纹特征提取和无意识别。
  3. 所属分类:matlab例程

  1. DSP MATLAB仿真

    0下载:
  2. 关于各种数字信号处理滤波器的matlab仿真(Matlab simulation of various digital signal processing filters)
  3. 所属分类:matlab例程

    • 发布日期:2024-05-20
    • 文件大小:234496
    • 提供者:云喜
  1. 双音多频(DTMF)信号的MATLAB仿真

    0下载:
  2. 双音多频(DTMF)信号的MATLAB仿真,已经通过调试 含源码及课设报告(Matlab simulation of DTMF signal has passed debugging, including source code and course design report)
  3. 所属分类:matlab例程

    • 发布日期:2024-05-20
    • 文件大小:68608
    • 提供者:q落羽
  1. MATLAB 7.0 在数字信号处理中的应用(罗军辉

    0下载:
  2. 较为全面的讲述了雷达数字信号处理方面的应用,最后面介绍了雷达仿真(This paper introduces the application of radar digital signal processing in a comprehensive way, and radar simulation in the last part)
  3. 所属分类:其他小程序

    • 发布日期:2024-05-20
    • 文件大小:1999872
    • 提供者:行一实真
  1. 雷达信号理论的MATLAB(林茂庸著)

    1下载:
  2. 该压缩包为雷达信号基础得matlab仿真程序(Matlab simulation program of radar signal based on this compression package)
  3. 所属分类:其他小程序

    • 发布日期:2024-05-20
    • 文件大小:24576
    • 提供者:Hide_0n_bush
  1. Chirp信号的匹配滤波

    0下载:
  2. 利用matlab仿真产生线性调频信号,并实现线性调频信号的匹配滤波。(Using matlab simulation to generate LFM signal and realize matched filtering of LFM signal.)
  3. 所属分类:matlab例程

    • 发布日期:2024-05-20
    • 文件大小:70656
    • 提供者:zoelijunxia
  1. chirpmodsys

    0下载:
  2. 可实现,线性调频信号的产生,波形显示,波形解调和码字解调功能(Realizable, chirp signal generation, waveform display, waveform demodulation and codeword demodulation)
  3. 所属分类:通讯/手机编程

    • 发布日期:2024-05-20
    • 文件大小:1024
    • 提供者:djf1214617172
  1. 心电信号检测与分类算法的研究

    0下载:
  2. (1)心电信号预处理 心电信号是一种低频且含有众多噪声干扰的信号。针对心电信号存在的 噪声干扰问题,本文采用了平稳小波变换结合双变量阈值的方法对其进行去 噪处理。通过对心电信号进行八层平稳小波变换,得到不同的小波系数,采 用双变量阈值函数表达式对其进行处理得到新的小波系数,最后进行逆平稳 小波变换实现小波重构,完成心电信号去噪。Matlab 仿真结果显示,本文算 法的准确率较高,信噪比达到 84.5934dB。 (2)心电信号
  3. 所属分类:其他小程序

    • 发布日期:2024-05-20
    • 文件大小:3146752
    • 提供者:雨季96
  1. 心音信号处理分析

    0下载:
  2. 在matlab仿真平台上对某一心音信号进行采样、小波分解、消噪处理、信号重构等信号处理(Heart audio data processing)
  3. 所属分类:图形/文字识别

    • 发布日期:2024-05-20
    • 文件大小:212992
    • 提供者:l5s7s3
  1. MATLAB数字信号处理仿真系统(GUI)

    0下载:
  2. 本课题基于MATLAB平台的数字信号处理仿真演示系统。数字信号即离散信号,本设计带有一个丰富得GUI人机交互界面,一共包括4个部分,分别为:离散时间信号的卷积,基本函数的Z变换(单位阶跃信号,脉冲信号,斜变信号,正余弦信号,指数系列信号),Z变换的基本性质(线性,位移,z域尺度变换,时域卷积),傅里叶变换的基本性质。是一个不错的选题,GUI设计逻辑清晰,章节清晰,层次明了。(This project is based on MATLAB
  3. 所属分类:matlab例程

    • 发布日期:2024-05-20
    • 文件大小:1170432
    • 提供者:MATLAB道长
  1. 雷达信号仿真

    0下载:
  2. 雷达回波仿真,以及匹配滤波的三种实现和不同
  3. 所属分类:其他小程序

« 1 2 3 4 5 67 8 9 10 11 ... 50 »

源码中国 www.ymcn.org