搜索资源列表

  1. 小熊工具箱V3.0

    0下载:
  2. modis数据处理软件,拼接,解压,投影,时序分析等等等,非常好用(MODIS data processing software, splicing, decompression, projection, timing analysis and so on.)
  3. 所属分类:网址推荐

    • 发布日期:2024-05-21
    • 文件大小:3145728
    • 提供者:偏执狂
  1. WS2812

    0下载:
  2. 采用spi模拟ws2812时序,stm32F4采用dma传送数据,库文件为stm32标准库(SPI is used to simulate ws2812 timing, stm32F4 uses DMA to transmit data, and the library file is STM32 standard library.)
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-21
    • 文件大小:2048
    • 提供者:adv6
  1. gdsii-toolbox-master

    0下载:
  2. 可以利用MATLAB编写GDSII图形文件,GDSII是一种时序提供格式,用于设计工具、计算机和掩膜制造商之间进行半导体物理制板的数据传输。得以普遍应用,成为半导体工业最常用的文件格式。在成为工业标准之前,GDSII最初始于GE Calma公司。GDSII格式对分布于每一个制作层的电路单元进行全面描述。这种格式是基于二进制的独立平台。电路单元可包含诸如多晶硅(两维域),连线和其他用于分级设计的单元件。(The program can b
  3. 所属分类:2D图形编程

  1. oled

    0下载:
  2. OLED初始化,4线spi驱动,读写命令,数据,看时序图(oled init spi drive,data,command,look timing time,address,cs(low affect),)
  3. 所属分类:文档资料

    • 发布日期:2024-05-21
    • 文件大小:13312
    • 提供者:小河御酒
  1. TCD1254FGF_Drive

    0下载:
  2. 基于FPGA Verilog驱动线性TCD1254GFG传感器驱动程序,驱动频率2MHz,帧率333帧每秒,曝光时间调节范围0-3000us,带数据读取时序1MHz。(The driver of linear TCD1254GFG sensor is driven by Verilog based on FPGA. The driving frequency is 2MHz, the fr a me rate is 333 fr a
  3. 所属分类:其他小程序

    • 发布日期:2024-05-21
    • 文件大小:6086656
    • 提供者:话说有你
  1. ccsuemupc条件跳转(1)

    0下载:
  2. 设计一个模型机,具体设计要求如下: (1)设计指令系统,要求有取数指令、加法指令、跳转指令、停机指令等 (2)设计指令格式、微指令格式 、微程序 、时序电路 、数据通路,完成cpu的设计。 (3)利用模块化设计,分别设计存储器模块、运算器模块、时序电路模块、微程序控制器模块、显示模块等,最后进行系统的顶层设计,完成复杂模型机的设计与实现测试 (4)根据任务,完成主程序的设计,同时把主程序翻译成目标代码,写入主存,仿真下载
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-21
    • 文件大小:1189888
    • 提供者:12332122
  1. BME280_STM32

    0下载:
  2. 由于stm32的硬件iic的BUG比较多,采用模拟iic的方式读取bme280模块的数据,IIC模拟时序和BME280都写好了,只需要对输入输出引脚进行修改即可,数据通过串口打印输出,方便移植。(Because there are many bugs in STM32's hardware IIC, the data of bme280 module is read in the way of analog IIC. The IIC a
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-21
    • 文件大小:3021824
    • 提供者:哈喽沃德
  1. APB_timer

    0下载:
  2. 设计一个挂载在 APB 总线上的计数器,按照 APB 的时序给计数器赋值,主 机通过地址对计数器进行配置,通过数据输入端口给计数器设置计数器最大值, 并通过数据输出端口输出计数器的计数值。该设计还设置了一个计数完成信号, 当计数器满足模式配置后的计数要求时,会将该信号拉高(A counter mounted on the APB bus is designed. The counter is assigned according to
  3. 所属分类:其他小程序

    • 发布日期:2024-05-21
    • 文件大小:312320
    • 提供者:littbi
« 1 2 ... 12 13 14 15 16 17»

源码中国 www.ymcn.org