搜索资源列表

  1. ajks

    0下载:
  2. 许多现代浮点单元的一项主要体系结构功能,是能够将一个乘法与后面紧跟的加法作为单个运算执 行,且没有中间舍入误差。例如,Intel 的 Itanium 体系结构提供了一些指令,将三元运算 (a*b+c) 、(a*b-c) 和 (c-a*b) 中的每一个都组合为单个浮点指令(分别为 fma、fms 和 fnma)。这些单个 指令都比执行独立的乘法和加法指令快,并且因为没有中间乘法舍入,所以更为精确。该优化可以显 著提高那些含有多个交错乘法和
  3. 所属分类:界面编程

    • 发布日期:2008-10-13
    • 文件大小:6440
    • 提供者:jack
  1. 51jianyijisuanqi

    0下载:
  2. 这是一个用51单片机实现的能进行浮点运算(包括浮点乘法,除法等)的计算器-This is a use of the MCS-51 microcontroller capable of floating-point operations (including floating point multiplication, division, etc.) Calculator
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:19990
    • 提供者:kk
  1. ARM20064414343

    0下载:
  2. · 寄存器和处理器模式(26-bit 体系) · 寄存器和处理器模式(32-bit 体系) · 程序状态寄存器和操纵它的指令 · 寄存器装载和存储指令 · 算术和逻辑指令 · 移位操作 · 乘法指令 · 比较指令 · 分支指令 · 条件执行 · 软件中断指令 · APCS (ARM 过程调用标准) · 编写安全的 32-bit 代码的基本规则 · IEEE 浮点指令 · 汇编器伪指
  3. 所属分类:通讯编程

    • 发布日期:2008-10-13
    • 文件大小:422480
    • 提供者:qtmd001
  1. multiply

    0下载:
  2. 好用的浮点乘法器,可完成32位IEEE格式的浮点乘法,经过仿真通过
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:1100
    • 提供者:gulu
  1. c

    0下载:
  2. 大灰狼和小白兔 大数的乘法 多项式求和 浮点取整
  3. 所属分类:C#编程

    • 发布日期:2008-10-13
    • 文件大小:1753
    • 提供者:pingping
  1. asm51

    0下载:
  2. 51子程序库,浮点、定点、加法、减法、乘法、除法
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:34515
    • 提供者:张燕
  1. 51jianyijisuanqi

    0下载:
  2. 这是一个用51单片机实现的能进行浮点运算(包括浮点乘法,除法等)的计算器-This is a use of the MCS-51 microcontroller capable of floating-point operations (including floating point multiplication, division, etc.) Calculator
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-05
    • 文件大小:19456
    • 提供者:kk
  1. ARM20064414343

    0下载:
  2. · 寄存器和处理器模式(26-bit 体系) · 寄存器和处理器模式(32-bit 体系) · 程序状态寄存器和操纵它的指令 · 寄存器装载和存储指令 · 算术和逻辑指令 · 移位操作 · 乘法指令 · 比较指令 · 分支指令 · 条件执行 · 软件中断指令 · APCS (ARM 过程调用标准) · 编写安全的 32-bit 代码的基本规则 · IEEE 浮点指令 · 汇编器伪指
  3. 所属分类:编程文档

    • 发布日期:2024-05-05
    • 文件大小:421888
    • 提供者:qtmd001
  1. 1

    0下载:
  2. 高效结构的多输入浮点乘法器在FPGA上的实现-Efficient structure of multi-input floating-point multiplier in FPGA Implementation
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-05
    • 文件大小:140288
    • 提供者:stormy
  1. multiply

    1下载:
  2. 好用的浮点乘法器,可完成32位IEEE格式的浮点乘法,经过仿真通过-Easy to use floating-point multiplier, to be completed by 32-bit IEEE format floating-point multiplication, through simulation through
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-05
    • 文件大小:1024
    • 提供者:gulu
  1. asm51

    0下载:
  2. 51子程序库,浮点、定点、加法、减法、乘法、除法-51 subroutine library, floating point, fixed point, addition, subtraction, multiplication, division
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-05
    • 文件大小:33792
    • 提供者:张燕
  1. alagetestaboutmutiply

    0下载:
  2. 执行大量浮点乘法和加法运算 以及速度完善的测试-a large speed test about mutiply
  3. 所属分类:C#编程

    • 发布日期:2024-05-05
    • 文件大小:2048
    • 提供者:hhh
  1. mul(FLP)

    0下载:
  2. 一个32位元的浮点数乘法器,可将两IEEE 754格式的值进行相乘-A 32-bit floating-point multipliers, can be two format IEEE 754 values multiplied
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-05
    • 文件大小:2048
    • 提供者:TTJ
  1. float_mul_verilog

    1下载:
  2. 浮点乘法verilog代码,浮点格式遵循 IEEE754 标准。-Float Point Multiply , im verilog
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-05
    • 文件大小:2048
    • 提供者:gongwen
  1. float01092

    0下载:
  2. xilinx FPGA中浮点乘法IP核的应用,已通过验证-xilinx FPGA multiply IP
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-05
    • 文件大小:944128
    • 提供者:zhaodajian
  1. rgb2yuv1

    0下载:
  2. 这个主要是实现RGB和YUV两种色彩空间的转换,其中用到的主要思想是,verilog语言中的浮点乘法怎么运算,流水线的思想。-This is achieved mainly two kinds of RGB and YUV color space conversion, which uses the main idea is, verilog language how floating point multiplication oper
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-05
    • 文件大小:1088512
    • 提供者:张元甲
  1. fdmul

    0下载:
  2. 浮点乘法程序,适用于MCS-51系列单片机,汇编语言编写-Floating-point multiplication procedures applicable to the MCS-51 series microcontroller, written in assembly language
  3. 所属分类:微处理器(ARM/PowerPC等)

    • 发布日期:2024-05-05
    • 文件大小:1024
    • 提供者:zhaoss
  1. floatmux

    0下载:
  2. 32位浮点乘法,希望大家能提出修改意见。(32-bit floating point multiplication)
  3. 所属分类:数值算法/人工智能

    • 发布日期:2024-05-05
    • 文件大小:1024
    • 提供者:AwBp
  1. float_multi_module

    0下载:
  2. float_multi_module实现了单精度浮点乘法运算(Float_multi_module implements single precision floating point multiplication.)
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-05
    • 文件大小:1024
    • 提供者:sisuozheweilai
  1. float_mult32x32.v

    0下载:
  2. verilog 语言写的FPGA内部实现硬件浮点乘法器的源码,两个时钟周期完成一次浮点乘法运算(The FPGA language written in Verilog implements the source of the hardware floating point multiplier, and completes the floating point multiplication operation in two clock
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-05
    • 文件大小:1024
    • 提供者:orangell
« 12 »

源码中国 www.ymcn.org