搜索资源列表

  1. uHsm

    0下载:
  2. 状态机的应用。在嵌入式系统中状态机使用非常频繁,掌握他是一个必须的能力-State machine applications. In embedded system state machine used very frequently, he is a must to master the ability to
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2024-05-19
    • 文件大小:327680
    • 提供者:向许东
  1. mealy_state_machine

    0下载:
  2. 本程序为米勒状态机经典设计模块,对用状态机设计程序控制部分具有指导意义-This procedure for Miller classic state machine design modules, using state machine control part of the design of guiding significance for
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-19
    • 文件大小:1024
    • 提供者:zhaohongliang
  1. FSM_FTP

    0下载:
  2. 利用有限状态机实现FTP 文件传输,不错,可以看看,研究一下-The use of finite state machine realization of FTP file transfer, yes, you can look at and study the
  3. 所属分类:软件工程

    • 发布日期:2024-05-19
    • 文件大小:91136
    • 提供者:一帆
  1. delay

    0下载:
  2. 用vhdl的状态机实现精确的1us的延时程序-VHDL state machine used to achieve precise 1us delay procedures
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-19
    • 文件大小:1024
    • 提供者:yim
  1. FSM_Design

    0下载:
  2. 讲述了状态机的相关知识,文章为重英文,利用具体的例子讲述了VHDL中 的状态机-About the state machine of the relevant knowledge, the article for the re-in English, the use of specific examples described in VHDL State Machine
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-19
    • 文件大小:119808
    • 提供者:yl
  1. State_Machine_Example_V61

    0下载:
  2. labview的状态机的例程,很经典,按照里面的说明编写对应的状态就可以了-LabVIEW routines of the state machine, it is a classic, in accordance with the descr iption of which corresponds to a state of preparation can be a
  3. 所属分类:其他行业

    • 发布日期:2024-05-19
    • 文件大小:322560
    • 提供者:任向东
  1. QF

    0下载:
  2. 这是状态机的一个框架结构的例子,可以作为状态机的基本架构,然后在上面扩展开发-This is a state machine, a fr a me structure example of state machine can be used as the basic fr a mework, and then expand in the above development
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2024-05-19
    • 文件大小:200704
    • 提供者:IO
  1. touch

    0下载:
  2. 在驱动程序中设定了触摸屏所处的7个不同状态,分别用从-1到5的数字表征,这7个状态构成了一个触摸屏状态机,系统根据当前状态做出下一步的处理,如表1所示。整个软件设计根据功能可以划分为5个部分,分别是初始化、设备打开、读操作、中断处理以及I/O控制-In the driver set the touch screen in which seven different states, respectively, from-1 to 5 th
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-19
    • 文件大小:1024
    • 提供者:solo
  1. VHDL

    0下载:
  2. 各种有限状态机的设计。 VHDL源代码。 -All kinds of finite state machine design. VHDL source code.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-19
    • 文件大小:13216768
    • 提供者:邢开开
  1. 4x4_fanzhuan

    0下载:
  2. 51单片机的4x4键盘扫描程序,基于状态机风格,使用线反转扫描法。-51 Singlechip 4x4 keypad scanner, based on the state machine style, the use of line scanning method inversion.
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-19
    • 文件大小:1024
    • 提供者:智豪
  1. 4x4_saomiao

    0下载:
  2. 51单片机4x4键盘扫描,基于状态机风格,使用逐行扫描法-51 single-chip 4x4 keypad scan, based on state machine style, the use of progressive-scan method
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-19
    • 文件大小:1024
    • 提供者:智豪
  1. mega16_4X4keyboard

    0下载:
  2. [AVR源代码]4X4键盘定时扫描[状态机方法]-[AVR source code] 4X4 regular keyboard scan [state machine method]
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-19
    • 文件大小:1024
    • 提供者:zgw
  1. fsmnum

    0下载:
  2. 编译原理课程中通过有限状态机模型实现判定输入字符串是否为实数的源程序。-In compiler construction courses,use the finite state machine to implement to determine whether the input string is the real number.
  3. 所属分类:其他小程序

    • 发布日期:2024-05-19
    • 文件大小:1024
    • 提供者:Ingu
  1. AI

    0下载:
  2. 6中AI算法,包括路径规划与移动技术、有限状态机,脚本技术,群聚技术,遗传算法,神经网络,每个算法中都有例子程序。-6 AI algorithms, including path planning and mobile technology, finite state machines, scr ipting technologies, clustering techniques, genetic algorithms, neural
  3. 所属分类:人工智能/神经网络/遗传算法

    • 发布日期:2024-05-19
    • 文件大小:2953216
    • 提供者:北平
  1. Temperature_Control_System

    0下载:
  2. 基于状态机的温度控制系统.vi 基于状态机的温度控制系统.vi-State machine based on the temperature control system. Vi
  3. 所属分类:其他小程序

    • 发布日期:2024-05-19
    • 文件大小:45056
    • 提供者:王东
  1. key

    0下载:
  2. 按键状态机,清晰的实现键盘的扫描。 支持多功能按键:长按,短按等-State machine keys, clear keypad scanning implementation. Supporting multi-function keys: long press, short press, etc.
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-19
    • 文件大小:1024
    • 提供者:锦量
  1. Mars

    0下载:
  2. 有限状态机演示程序,C++编写,界面为MFC制作。-Finite state machine demo program, C++ to prepare, the interface for the production of MFC.
  3. 所属分类:Windows编程

    • 发布日期:2024-05-19
    • 文件大小:52224
    • 提供者:周一飞
  1. state_machine

    0下载:
  2. 状态机的描述,基于EasyFPGAv1.04 用状态机描述流水灯,状态机在1s的周期下流水灯,方向又sel控制-Descr iption of state machine, based on the state machine described by EasyFPGAv1.04 water lights, state machine at the cycle 1s under water lights, direction and
  3. 所属分类:书籍源码

    • 发布日期:2024-05-19
    • 文件大小:1252352
    • 提供者:劳杰勇
  1. ADC0809

    0下载:
  2. 用状态机对A/D转换器0809的采样控制电路的实现。工具:Quartus ii 6.0 语言:VHDL-State machine used for A/D converter sampling control circuit 0809 is achieved. Tools: Quartus ii 6.0 Language: VHDL
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-19
    • 文件大小:46080
    • 提供者:杨晴飞
  1. 3

    0下载:
  2. 有穷状态机,利用VC6.0编写蚂蚁找食的模拟程序-Finite state machine, the use of ants looking for food preparation VC6.0 simulation procedures
  3. 所属分类:人工智能/神经网络/遗传算法

    • 发布日期:2024-05-19
    • 文件大小:215040
    • 提供者:戴浩彬
« 1 2 3 4 5 6 7 89 10 11 12 13 ... 50 »

源码中国 www.ymcn.org