搜索资源列表

  1. 秒表设计

    0下载:
  2. 用verilog hdl实现的秒表程序。可以精确计时到1分,可简单修改程序后实现更长时间的计时。
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2009-05-06
    • 文件大小:541479
    • 提供者:maylag
  1. 基于FPGA的数字秒表的VHDL设计

    1下载:
  2. 基于FPGA的数字秒表的VHDL设计
  3. 所属分类:VC/C++相关

    • 发布日期:2010-10-19
    • 文件大小:50273
    • 提供者:qq765218805
  1. led秒表

    0下载:
  2. LED显示秒表,有一个按键控制
  3. 所属分类:C#编程

  1. 99秒秒表

    0下载:
  2. VHDL语言,99秒秒表,已测试成功。
  3. 所属分类:VHDL编程

  1. 简单易懂的秒表程序

    0下载:
  2. 这是一个简单易懂的用C语言编写的秒表程序。非常适合新手
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2012-06-02
    • 文件大小:1360
    • 提供者:dianquanchen
  1. 秒表1

    0下载:
  2. 原创的简朴的秒表,-original simplicity of the stopwatch
  3. 所属分类:数值算法/人工智能

    • 发布日期:2024-05-02
    • 文件大小:1024
    • 提供者:黄海
  1. 秒表课程设计

    0下载:
  2. 单片机秒表课程设计-SCM stopwatch curriculum design
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-02
    • 文件大小:27648
    • 提供者:
  1. 秒表C51程序

    0下载:
  2. 秒表C51程序,包含键盘扫描, 在数码管上显示各个数字, 使用80C51单片机-stopwatch C51 procedures, keyboard scanning, digital control in the various figures show that the use of 80C51 microcontroller
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-02
    • 文件大小:2048
    • 提供者:李厘卡
  1. 电子秒表

    0下载:
  2. 实现电子秒表计时功能,精度可达百分之一秒。-electronic stopwatch function of time, up to 1% precision seconds.
  3. 所属分类:按钮控件

    • 发布日期:2024-05-02
    • 文件大小:1876992
    • 提供者:小丽儿
  1. 秒表程序

    0下载:
  2. 一个用单片机做的秒表程序,对单片机初学者有一定帮助-a microcontroller to do with the stopwatch process that the SCM will definitely help beginners
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-02
    • 文件大小:22528
    • 提供者:杨天
  1. 数字秒表课程设计

    1下载:
  2. 秒表程序课程设计,可以让那些不想写设计报告的人直接使用-stopwatch curriculum design process, allowing those who do not want to write the design report directly use
  3. 所属分类:汇编语言

    • 发布日期:2024-05-02
    • 文件大小:18432
    • 提供者:杨天
  1. C秒表

    0下载:
  2. 这是一个用C#编写的秒表程序,它根据你的设定时间(分钟)计时,到时自动弹出并发出三声Beep音(音量可调)或用Beep音唱出一首歌(节奏内设)-This is a C# prepared by the stopwatch procedures, which you have set time (minutes) time. when pop-up and issued three Beep Sound Voice (adjustable
  3. 所属分类:C#编程

    • 发布日期:2024-05-02
    • 文件大小:41984
    • 提供者:quxch
  1. ex11_秒表

    0下载:
  2. 单片机秒表程序,请朋友们试试,如果需要更多程序,请和我联系-SCM stopwatch procedures, please friends try, if need more procedures, please contact me and
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-02
    • 文件大小:15360
    • 提供者:WANG
  1. 多功能秒表计时器

    0下载:
  2. 模拟秒表计时。基于ARM860系统开发的主函数部分。(Analog stopwatch timer. The main function part based on ARM860 system development.)
  3. 所属分类:微处理器(ARM/PowerPC等)

  1. 51黑论坛_实例95 99.9秒秒表

    0下载:
  2. 秒表程序,基于51单片机的秒表代码,程序,各种功能基本具备(Stopwatch program stopwatch program 51 microcontroller code, based on the basic functions have)
  3. 所属分类:汇编语言

    • 发布日期:2024-05-02
    • 文件大小:38912
    • 提供者:Winner2017
  1. 3-简易秒表计时(修改版消影好方法)

    0下载:
  2. 单片机控制进行秒表计时,简单易懂,适于新手看(Singlechip control stopwatch timer)
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-02
    • 文件大小:33792
    • 提供者:支岚
  1. 秒表

    0下载:
  2. 程序包含stm32rtc实时时钟的使用以及定时器中断的应用。在tft显示屏上显示出时间,以及秒表,屏幕上有按键可以开始,暂停,归零秒表(The program contains the use of stm32rtc real-time clock and the application of timer interrupts. Display time on the TFT display, and the stopwatch, wi
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2024-05-02
    • 文件大小:4156416
    • 提供者:水电费阿
  1. 秒表

    0下载:
  2. 用数码管实现秒表计时功能,能1秒1秒计数(With digital tube stopwatch function)
  3. 所属分类:文档资料

    • 发布日期:2024-05-02
    • 文件大小:18432
    • 提供者:w--
  1. 电子秒表00.0~59.9

    0下载:
  2. 用STC89C52RC设计一个3位LED数码显示“秒表”,显示时间为00.0~59.9秒,每毫秒自动加一,每十毫秒自动加一秒。(Using STC89C52RC to design a 3 bit LED digital display "stopwatch", display time is 00.0~59.9 seconds, automatically add one every millisecond, au
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-02
    • 文件大小:122880
    • 提供者:hexiug
  1. 秒表项目

    0下载:
  2. 利用51单片机和数码管实现秒表的计时功能,精确到千分之一秒。(A stopwatch project ,which is make of 51 MCU and timer application.)
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2024-05-02
    • 文件大小:201728
    • 提供者:zzy520
« 12 3 4 5 6 7 8 9 10 ... 50 »

源码中国 www.ymcn.org