搜索资源列表

  1. 译码器

    0下载:
  2. 通过对用硬件描述语言VHDL表示的某个专用部件(如中断控制器、差错控制码编码/译码器,此为译码器)的代码分析,构建它的逻辑结构,加深对相关部件设计技术的理解。 试验平台:MaxPlusII -through the use of VHDL hardware descr iption language said a special components (such as interrupt controllers, error c
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:30156
    • 提供者:johnmad
  1. 一些译码器源代码

    1下载:
  2. 内有LED译码器,汉明纠错译码器,地址译码器,最高优先译码器,双2-4译码器等VHDL的源代码-decoder, Hamming error correction decoder, address decoder, the highest priority decoder, dual 2-4 decoder such as VHDL source code
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:3903
    • 提供者:蔡孟颖
  1. 哈夫曼编译码器

    0下载:
  2. 用C语言写的哈夫曼编译码器-C language written Huffman Decoder
  3. 所属分类:Web服务器

    • 发布日期:2008-10-13
    • 文件大小:1598
    • 提供者:
  1. RS编译码器的DSP实现

    0下载:
  2. RS编译码器的DSP实现,首先是MATLAB编程,然后是DSP实现

  3. 所属分类:技术管理

    • 发布日期:2009-01-08
    • 文件大小:864936
    • 提供者:xiaofan308
  1. 哈夫曼编/译码器课程设计

    1下载:
  2. 利用哈夫曼编码进行信息通讯可以大大提高信道利用率,缩短信息传输时间,降低传输成本。但是,这要求在发送端通过一个编码系统对待传数据预先编码,在接收端将传来的数据进行译码(复原)。对于双工信道(即可以双向传输信息的信道),每端都需要一个完整的编/译码系统。试为这样的信息收发站写一个哈夫曼码的编译码系统 基本要求: 1.接收原始数据:从终端读入字符集大小n,n个字符和n个权值,建立哈夫曼树 2.编码:利用已建好的哈夫曼树,对文件中的正文
  3. 所属分类:文档资料

  1. VHDL语言实现3—8译码器

    0下载:
  2. 应用VHDL语言编写的3—8译码器,简单易懂
  3. 所属分类:VHDL编程

  1. 哈夫曼编译码器

    0下载:
  2. 用C语言写的哈夫曼编译码器-C language written Huffman Decoder
  3. 所属分类:WEB源码

    • 发布日期:2024-05-10
    • 文件大小:1024
    • 提供者:
  1. 3-8译码器

    0下载:
  2. vhdl的3-8译码器-instantiate the 3-8 decoder
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-10
    • 文件大小:999424
    • 提供者:熊辉波
  1. Hfmtree编译码器

    1下载:
  2. 利用哈夫曼编码进行通信可以大大提高通信信道利用率,缩短信息传输时间,降低传输成本。这要求在发送端通过一个编码系统将传输数据预先编码;在接收端将传来的数据进行译码(复原)。对于双工信道(即可以双工传输信息的信道),每端都需要一个完整的编/译码系统。为这样的信息收发站设计的一个哈夫曼编/译码系统。-use Huffman coding communication can greatly enhance communication chann
  3. 所属分类:数据结构常用算法

    • 发布日期:2024-05-10
    • 文件大小:2048
    • 提供者:cuckoo
  1. 译码器

    0下载:
  2. 通过对用硬件描述语言VHDL表示的某个专用部件(如中断控制器、差错控制码编码/译码器,此为译码器)的代码分析,构建它的逻辑结构,加深对相关部件设计技术的理解。 试验平台:MaxPlusII -through the use of VHDL hardware descr iption language said a special components (such as interrupt controllers, error c
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-10
    • 文件大小:29696
    • 提供者:johnmad
  1. 一些译码器源代码

    0下载:
  2. 内有LED译码器,汉明纠错译码器,地址译码器,最高优先译码器,双2-4译码器等VHDL的源代码-decoder, Hamming error correction decoder, address decoder, the highest priority decoder, dual 2-4 decoder such as VHDL source code
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-10
    • 文件大小:4096
    • 提供者:蔡孟颖
  1. 5、译码器实验

    0下载:
  2. 这是单片机中的译码器实验程序,仅供初学者学习和使用。(This is a singlechip decoder experimental procedures for beginners to learn and use.)
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-10
    • 文件大小:1394688
    • 提供者:大偶
  1. decoder38-ok-38译码器

    0下载:
  2. 使用quartus2软件的VHDL编写了简单38译码器,希望大家能积极学习(The use of quartus2 software VHDL prepared a simple 38 decoder, I hope we can actively study)
  3. 所属分类:VHDL编程

  1. 动态数码管(带译码器)程序

    0下载:
  2. 动态数码管(带译码器)的全部源程序。分为各个模块。(Dynamic digital tube (with decoder) of all source code. It is divided into modules.)
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2024-05-10
    • 文件大小:15360
    • 提供者:浮梦浅宸
  1. 动态数码管(不带译码器)程序

    0下载:
  2. 本文档包含了动态数码管(不带译码器)的所有源程序,分为各个模块。(This document contains all the source code of the dynamic digital tube (without the decoder), and is divided into modules.)
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2024-05-10
    • 文件大小:15360
    • 提供者:浮梦浅宸
  1. 3-8译码器

    0下载:
  2. 基于vhdl的3-8译码器的代码输入、测试平台及仿真(Code input of 3-8 decoders)
  3. 所属分类:软件工程

    • 发布日期:2024-05-10
    • 文件大小:1024
    • 提供者:莫小依
  1. 74HC4511 7段显示译码器

    0下载:
  2. 译码器,七段显示译码器,内含波形图,测试代码和源码,以及.v文件,verilog编写,ise平台运行(Decoder, seven segment display decoder, contain waveform, test code and source code, as well as.V file, Verilog writing, ISE platform running)
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-10
    • 文件大小:190464
    • 提供者:doubleOlive
  1. 3-8译码器VHDL描述

    0下载:
  2. 在开发板FPGA:Spartan-3E 系列,型号:XC3S500E,封装:FGT320,速度-4;利用XIlinX编程,使用VHDL语言来描述组合逻辑器件3-8译码器(In the FPGA:Spartan-3E development board series, XC3S500E, FGT320, -4 package: speed; the use of XIlinX programming, using VHDL languag
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-10
    • 文件大小:10240
    • 提供者:lixilin
  1. 哈夫曼编码器,译码器问题

    0下载:
  2. 完成哈夫曼编码,译码问题,用C语言实现编码器,译码器的功能。(Complete the Hoffman code, decoding the problem, using the C language to implement the encoder, decoder functions.)
  3. 所属分类:数据结构常用算法

    • 发布日期:2024-05-10
    • 文件大小:314368
    • 提供者:朱达
  1. RS(204,188)译码器的设计

    2下载:
  2. RS(204,188)译码器说明 原文件: rs_decoder.v(顶层文件), SyndromeCalc.v(计算伴随式), BM_KES.v(BM求解关键方程), Forney.v(Forney算法求误差样值), CheinSearch.v(搜索错误位置),ff_mul.v(有限域乘法)。 ROM及初始化文件: rom_inv.v(求逆运算), rom_power.v(求幂运算);
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-10
    • 文件大小:15360
    • 提供者:HelloFrank0
« 12 3 4 5 6 7 8 9 10 ... 50 »

源码中国 www.ymcn.org