搜索资源列表

  1. ad-pwm

    0下载:
  2. 使用A/D控制PWM输出,已经通过测试,可以运行,性能稳定-The use of A/D control PWM output, has passed the test, you can run, stable performance
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-17
    • 文件大小:2048
    • 提供者:陆小凤
  1. PWM

    1下载:
  2. 模拟PWM输出 keil c代码 51单片机上运行。适合等效电压用-Simulation of PWM output keil c code to run on single-chip microcomputer 51. Equivalent voltage suitable for use
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-17
    • 文件大小:84992
    • 提供者:张三
  1. main

    0下载:
  2. pwm输出正弦波控制异步电动机,实现电动机的的控制-output sine wave pwm-controlled induction motor to achieve motor control
  3. 所属分类:DSP编程

    • 发布日期:2024-05-17
    • 文件大小:1024
    • 提供者:dsafcsad
  1. 51pwm

    0下载:
  2. 51 模拟PWM输出,此程序是利用51单片机控制输出pwm信号。-51 analog PWM output, this procedure is the use of 51 single-chip control output pwm signal.
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-17
    • 文件大小:1024
    • 提供者:zipl19850114
  1. 51outPWM

    0下载:
  2. 51 单片机实现输出PWM波的几种方法,以及汇编程序-51 Singlechip realize PWM wave output of several methods, and the assembler
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-17
    • 文件大小:56320
    • 提供者:窦龙超
  1. 79419102PWM_for_C51

    0下载:
  2. pwm原程序利用定时器,在P2_5上输出PWM波。为了演示,使占空比从1%~99%再从99%~1%连续变化。频 率约为200Hz。可以用于用于直流电机的驱动等方面-pwm timer using the original procedure, in P2_5 output PWM wave. For demonstration, so that the duty cycle from 1 ~ 99 ~ 99 from 1 for t
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-17
    • 文件大小:1024
    • 提供者:yichongwen
  1. 24c02

    0下载:
  2. 利用定时器,在P2_5上输出PWM波。为了演示,使占空比从1%~99%再从99%~1%连续变化。频 率约为200Hz。可以用于用于直流电机的驱动等方面-Use of timers in the PWM wave output P2_5. For demonstration, so that the duty cycle from 1 ~ 99 ~ 99 from 1 for the changes. Frequency of abo
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-17
    • 文件大小:17408
    • 提供者:yichongwen
  1. PWM

    0下载:
  2. 转速表采用的是测量编码盘的信号,用STC89C2052单片机进行处理,根据转速输出0-5V电压-Tachometer is used in measuring the signal encoded disk with STC89C2052 single-chip processing, in accordance with speed 0-5V output voltage
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-17
    • 文件大小:1024
    • 提供者:林必中
  1. pwm

    0下载:
  2. 脉宽调制(PWM的全称是Pulse Width Modulation(脉冲宽度调制))是利用微处理器的数字输出来对模拟电路进行控制的一种非常有效的技术,广泛应用在从测量、通信到功率控制与变换的许多领域中。-Pulse width modulation (PWM full name is Pulse Width Modulation (PWM)) is the use of the microprocessor to the digita
  3. 所属分类:通讯/手机编程

    • 发布日期:2024-05-17
    • 文件大小:108544
    • 提供者:王飞
  1. pwm

    0下载:
  2. 单片机输出PWM信号,驱动小型直流电机-Single-chip output PWM signal, driving a small DC motor
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2024-05-17
    • 文件大小:3072
    • 提供者:
  1. Demo1103_IO_AD_PWM3_V7_ccslink

    0下载:
  2. 代码由matlab自动生成,目标平台是TMS320F2812。ADC输入一路模拟量之后,经过PID控制输出PWM电机控制信号。-Matlab code automatically generated by the target platform is the TMS320F2812. ADC analog input after all the way through PID control output PWM motor contr
  3. 所属分类:DSP编程

    • 发布日期:2024-05-17
    • 文件大小:374784
    • 提供者:wanghuixia
  1. PWM

    0下载:
  2. 使用PWM可得到较高精度的输出,使用于要求精度较高的工业应用场合。-The use of available high precision PWM output, used for high accuracy industrial applications.
  3. 所属分类:电子书籍

    • 发布日期:2024-05-17
    • 文件大小:753664
    • 提供者:李勇
  1. PWM

    0下载:
  2. 利用汇编语言编写,VHDL,实现PWM波形转换电压,直接导入单片机即可运行,产生波形输出实现转换电压的功能.-Prepared to use assembly language, VHDL, realize PWM waveform converter voltage, can be run directly into single-chip, resulting in the output waveform realize the f
  3. 所属分类:汇编语言

    • 发布日期:2024-05-17
    • 文件大小:1064960
    • 提供者:yangfan
  1. PWM

    0下载:
  2. lpc213X PWM双边沿输出 已经调试过可用-Double-edge lpc213X PWM output has been available for debugging
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-17
    • 文件大小:24576
    • 提供者:刘军
  1. PWM_controlor_of_LED

    0下载:
  2. 51单片机 输出pwm 控制led 亮度-51 Single-chip output led brightness pwm control
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-17
    • 文件大小:11264
    • 提供者:谭建平
  1. PWM

    0下载:
  2. em78p156模拟控制PWM输出256档位4~6路-em78p156 analog control PWM output 256 stalls 4 ~ 6 Road
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-17
    • 文件大小:2048
    • 提供者:jim
  1. PWM

    0下载:
  2. 利用VHDL语言实现FPGA的PWM输出波形,占空比可控-FPGA using VHDL language realize the PWM output waveform, duty cycle controlled
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-17
    • 文件大小:36864
    • 提供者:王传辉
  1. PWM

    0下载:
  2. proteus 应用实例 采用快速PWM方式,通过按键设置 OCR1A的值,从而改变占空比; 当数值超出界限时,以了LED和LCD 的方式报警; 输出经过滤波可以得到直流信号,改变 占空比,输出不一样。 -Proteus Application Fast PWM mode, through the key settings OCR1A value, thus changing the duty cycl
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-17
    • 文件大小:77824
    • 提供者:zl
  1. PWM

    0下载:
  2. 单片机脉宽调制输出,用于AD,占空比可调的方波产生等-Single-chip pulse width modulation output for AD, have a duty cycle square wave, such as adjustable
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-17
    • 文件大小:15360
    • 提供者:张名
  1. PWM

    0下载:
  2. 这是一个控制电机的小项目,功能:通过五个按键控制PWM的输出,同时在四位数码管的后三位上显示占空比。-This is a small motor control project, function: By the five buttons to control PWM output, while the latter four digital tube display duty cycle 3.
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-17
    • 文件大小:1024
    • 提供者:
« 1 2 3 45 6 7 8 9 10 ... 50 »

源码中国 www.ymcn.org