搜索资源列表

  1. chapter3tfgp

    0下载:
  2. 这个是matlab下时频分析中之非平稳信号的一般处理的全部代码-under Matlab is the time-frequency analysis of non-stationary signals in general will deal with all the code
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:7121
    • 提供者:tanhang
  1. chapter7shipingxinhaochuli

    0下载:
  2. 这个是matlab下的全部时频分析在信号处理中的代码-this is the whole of Matlab time-frequency analysis in signal processing code
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:6593
    • 提供者:tanhang
  1. pm2_wv_doa_test

    0下载:
  2. 非平稳信号处理中用维格纳时频分布进行两个正弦调频信号的波达方向的估计-non-stationary signal processing using Wigner distribution of time-frequency sinusoidal two FM signal DOA estimates
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:1324
    • 提供者:zz
  1. FFTtranslationinDSP

    0下载:
  2. 使用FFT变换求一个时域信号的频域特性,并从这个频域特性求出该信号的频域值,使用DSP汇编语言实现对FFT的DSP编程-FFT transform the use of a time-domain signals in the frequency domain characteristics, and from the frequency domain characteristics of the signal obtained in
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:24560
    • 提供者:zhoujianjun
  1. sin102

    0下载:
  2. 用MATLAB编写的一个多频正弦信号的AR模型功率谱估计程序 用的是LEVISION算法 阶数判定使用的是最优算法 并与库函数进行对比-MATLAB prepared a multi-frequency sinusoidal signals in the AR model power spectrum estimation procedures using the electron ISION algorithm order deter
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:2021
    • 提供者:wang jian
  1. dsb_sc

    0下载:
  2. 实现双边带抑制载波调幅信号的时域波形和频域波形的仿真,采样点数默认为2^18。-achieve bilateral suppression of carrier signal amplitude of the time-domain and frequency-domain waveform simulation, The default sampling point to 2 ^ 18.
  3. 所属分类:通讯编程

    • 发布日期:2008-10-13
    • 文件大小:1338
    • 提供者:段美姣
  1. S_Transformation

    0下载:
  2. S变换的matlab源码,并应用几个信号作为例子来说明怎么使用s变换以及s变换可以用来做些什么事情。s变换是时频分析领域中一个较新的内容,现在在信号处理,地震勘探,语音识别等领域都开始了对它的应用研究,是目前的一个热点。-S transform Matlab source, Applied Signal and several examples to illustrate how the use of Transform's&#
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:340347
    • 提供者:王清振
  1. wigner4

    0下载:
  2. 对于淹没在复高斯白噪声中的信噪比为-5dB二次调频信号,在矩形窗长为256的情况下,滤波器组时频检测仿真-for drowned in the complex Gaussian white noise in the signal-to-noise-dB second FM signal in the long rectangular windows of the 256 cases, the time-frequency filters
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:1147
    • 提供者:eric
  1. ClockDiv

    0下载:
  2. 本程序以XILINX公司的ISE8.2为开发平台,采用VHDL为开发语言,实现了对一个时钟信号分频的功能-the procedures to XILINX ISE8.2 for the development platform VHDL used for the development of language, the right to achieve a clock frequency of the signal function
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:774440
    • 提供者:刘小军
  1. shipinxinhaofenbu

    0下载:
  2. 利用matlab对信号进行时频分析,信号重排处理,不妨看一看-using Matlab to signal time-frequency analysis, signal processing rearrangement, we might look at the
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:5399
    • 提供者:罗义平
  1. tyldfxdyMATLAB

    0下载:
  2. 现在的雷达都是在多脉冲观测的基础上进行检 测的,多个脉冲积累后可以有效地提高信噪比,从 而改善雷达的检测能力。积累处理可以在包络检波 前完成,称为检波前积累或者中频积累。信号在中 频积累时要求信号间有严格的相位关系,也就是说 信号是相参的,所以也称为相参积累。此外,积累 过程可以在包络检波后完成,称为检波后积累或者 视频积累。由于信号在包络检波后失去了相位信息 而只保留了幅度信息,所以检波后积累处理就不
  3. 所属分类:WEB源码

    • 发布日期:2008-10-13
    • 文件大小:27563
    • 提供者:freedooom
  1. telephone

    0下载:
  2. 本文主要阐述了DTMF的原理及如何在MATLAB上产生DTMF信号,主要涉及到电话拨号音合成的基本原理及识别的主要方法,利用 MATLAB 软件以及 FFT 算法实现对电话通信系统中拨号音的合成与识别。并进一步利用 MATLAB 中的图形用户界面 GUI 制作简单直观的模拟界面。 能够利用矩阵不同的基频合成 0 - 9 不同按键的拨号音,并能够对不同的拨号音加以正确的识别,实现由拨号音解析出电话号码的过程,完成对电话拨号音系统的简单的实
  3. 所属分类:通讯编程

    • 发布日期:2008-10-13
    • 文件大小:3873
    • 提供者:冯扬
  1. frequency_estimation

    1下载:
  2. OFDM信号的频偏校正程序,用于估计子带上的频率偏移。
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:7065
    • 提供者:dengyongma
  1. pingpufx

    0下载:
  2. 本设计以凌阳16位单片机SPCE061A为核心控制器件,配合Xilinx Virtex-II FPGA及Xilinx公司提供的硬件DSP高级设计工具System Generator,制作完成本数字式外差频谱分析仪。前端利用高性能A/D对被测信号进行采集,利用FPGA高速、并行的处理特点,在FPGA内部完成数字混频,数字滤波等DSP算法。
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:258459
    • 提供者:郑坤
  1. wavekit

    0下载:
  2. 一个时频分析的工具箱,主要进行小波变换的信号处理函数
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:201786
    • 提供者:leelyq
  1. FPGA--DDS-PhaseMeasure

    1下载:
  2. Verilog实现的DDS正弦信号发生器和测频测相模块,DDS模块可产生两路频率和相位差均可预置调整的值正弦波,频率范围为20Hz-5MHz,相位范围为0°-359°,测量的数据通过引脚传输给单片机,单片机进行计算和显示。
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:1371610
    • 提供者:haoren
  1. tftb-0.1

    0下载:
  2. matlab的时频分析工具包,还比较好用.希望对信号处理的时频分析有所帮助.
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:768481
    • 提供者:吴明
  1. time-frequency

    0下载:
  2. matlab的时频分析工具箱,希望在对信号的分析上可以帮助到大家。
  3. 所属分类:语音合成与识别

    • 发布日期:2008-10-13
    • 文件大小:1397353
    • 提供者:backtim
  1. spfx

    0下载:
  2. 时频分析工具箱,功能强大,能够出理和分析非平稳信号
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:165377
    • 提供者:余洁
  1. WVD

    1下载:
  2. WVD变换的matlab工具包,非常有用的信号时频分析工具
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:990649
    • 提供者:秦元庆
« 1 2 ... 45 46 47 48 49 50»

源码中国 www.ymcn.org