搜索资源列表

  1. 2407asm

    0下载:
  2. 2407asm文件夹包括第8~13章的汇编语言代码及使用说明。有如下子文件夹:  第8章数字输入输出模块(led);  第8章数字输入输出模块(LED+KEY);  第9章事件管理器模块(PWM);  第9章事件管理器模块_捕捉(cap);  第9章事件管理器模块_定时器中断(Timer_INT);  第10章模数转换
  3. 所属分类:DSP编程

    • 发布日期:2024-05-22
    • 文件大小:319488
    • 提供者:frank
  1. 2407C

    0下载:
  2. 2407C文件夹包括第8~16章的C语言代码及使用说明。有如下子文件夹:  第8章数字输入输出8路开关量输入输出(8IOIN+8IOOUT);  第8章数字输入输出模块(led);  第8章数字输入输出模块(LED+KEY);  第9章事件管理器模块(PWM);  第9章事件管理器模块_编码(QEP);  第9章事件管
  3. 所属分类:DSP编程

    • 发布日期:2024-05-22
    • 文件大小:436224
    • 提供者:frank
  1. sun

    0下载:
  2. 用89C52制作太阳电池数显充放电控制器原程序.说明:70H内存单元存放采样值,78H-7AH存放显示的BCD码数据;自然就依次为个位、十位和百位,模数转换器是ADC0809-89C52 production of solar cells with a number of significant charge-discharge controller original procedures. Descr iption: 70H memo
  3. 所属分类:文件格式

    • 发布日期:2024-05-22
    • 文件大小:2048
    • 提供者:韩小健
  1. LF2407_Matlab

    0下载:
  2. 在Matlab/Simulink环境下,用图形化的方式设计DSP程序,可简化程序的设计。利用Embedded Targetfor T1 C2000 DSP工具包,设计DSP的ADC转换程序;利用Simulink的数字信号处理工具包,设计FIR滤波嚣进行滤波处理;给出在修改生成的C语言程序时如何使DSP能正确运行。设计的程序在TM$320LF2407A处理器上运行正确。-In Matlab/Simulink environment, us
  3. 所属分类:DSP编程

    • 发布日期:2024-05-22
    • 文件大小:1210368
    • 提供者:csallon
  1. 2407asm

    0下载:
  2. 2407asm文件夹包括第8~13章的汇编语言代码及使用说明。有如下子文件夹:  第8章数字输入输出模块(led);  第8章数字输入输出模块(LED+KEY);  第9章事件管理器模块(PWM);  第9章事件管理器模块_捕捉(cap);  第9章事件管理器模块_定时器中断(Timer_INT);  第10章模数转换
  3. 所属分类:DSP编程

    • 发布日期:2024-05-22
    • 文件大小:319488
    • 提供者:无间
  1. MSP430F149_IIC_DAC5571

    0下载:
  2. 本源码维MSP430F149控制IIC协议的AD芯片DAC5571,并再1602液晶上显示数据 MCU的P1.0、P1.1 端口与DAC5571 的SDA、SCK端口连接,通过在两个GPIO上模拟 I2C时序从而实现对DAC的操作。可以看到,DAC5571 的输出端Vout连接到了跳线座P7 的第 1 脚。如果用短路帽将跳线座J1 的 2 脚 和 3 脚连接,则DAC的输出直接驱动LED,可以通过LED亮度的
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-22
    • 文件大小:35840
    • 提供者:skywalker
  1. F2812ADC_double

    0下载:
  2. DSP2812ADC - 测量两个模拟输入电压, 每个0.1sec由通用定时器1出发一次ADC转换;看门狗使能,在主函数循环以及ADC的中断服务程序中处理-DSP2812ADC- measurement of two analog input voltage, 0.1sec each one starting from a general-purpose timers ADC conversion Watchdog enable, i
  3. 所属分类:DSP编程

    • 发布日期:2024-05-22
    • 文件大小:2048
    • 提供者:甘家飞
  1. tlc2543

    0下载:
  2. TLC2543是TI公司的12位串行模数转换器,使用开关电容逐次逼近技术完成A/D转换过程。由于是串行输入结构,能够节省51系列单片机I/O资源;且价格适中,分辨率较高,因此在仪器仪表中有较为广泛的应用。-TLC2543 is TI s 12-bit serial ADC, the use of switched-capacitor successive approximation technique to complete A/D
  3. 所属分类:汇编语言

    • 发布日期:2024-05-22
    • 文件大小:6144
    • 提供者:hua
  1. document

    0下载:
  2. 硬件: 1. 车体 2. S3C2410A开发板 3. 红外测距传感器 4. 伺服器(舵机) 5. 直流电机 6. 电机驱动板 7. 电源 软件: 1. Linux2.6.14内核,包括:Nand Flash、USB、NET、PWM、ADC等驱动;Yaffs等文件系统。 2. VIVI 3. Busybox1.6 主要目标: 使车体能自主地在平地行驶,能躲避一定高度和大小的
  3. 所属分类:嵌入式Linux

    • 发布日期:2024-05-22
    • 文件大小:27648
    • 提供者:
  1. PIDcontrol

    0下载:
  2. 设计以89C51单片机、ADC、DAC等电路和运放电路组成的被控对象构成的单闭环反馈控制系统。 1. 硬件电路设计:89C51最小系统加上模入电路ADC0809和模出电路TLC7528;由运放构成的被控对象。 2. 控制算法:增量型的PID控制。 3. 软件设计:主程序、定时中断程序、A/D转换程序、滤波程序、D/A输出程序、PID控制程序等。 -Designed to 89C51 single-chip, ADC, D
  3. 所属分类:C#编程

    • 发布日期:2024-05-22
    • 文件大小:2048
    • 提供者:曹敏
  1. MSP430F449_C_programming_and_MSP430_PPT_Courseware

    0下载:
  2. MSP430F449实验的源程序:UART通信、ADC与LCD;MSP430PPT课件-MSP430F449 source experiments: UART communication, ADC and LCD MSP430PPT Courseware
  3. 所属分类:串口编程

    • 发布日期:2024-05-22
    • 文件大小:3166208
    • 提供者:hongjie
  1. ADC0832

    0下载:
  2. /* 功能:该程序可实现对ADC0832的控制,包括,启动ADC,选择输入通道,输入信号的模式《单端输入,或差分输入》 /* 并将采集到的数据进行处理,将读出的16进制转换为10进制形式 ,即程序中变量b,s,g的值 /* (与该程序相适应的硬件电路,P3_4-〉cs;P1_0->clk P1_1->DI&DO 参考电压与电源为同一个输入端) /* 最小输出电压:0.00V;最大输出电压:5.10v 分辨率:0.
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-22
    • 文件大小:2048
    • 提供者:火灵翼
  1. c8051adcuartkeydac

    0下载:
  2. (1) ADC&UART: 摘 要:本文件是AD采样及串口测试程序;AD输入端子(J7)可输入8路AD(AIN0--AIN7),另有1路可测量片内温度,9路采样值都通过串口(使用串口必须将JP3的1,2及3,4脚短路)发送出去,在串口调试助手上看观察其值。8路AD输入中AIN7可通过跳以器(JP1)“ADC”(1,2脚短接)与板上电位器相连,通过手动调VR1可以观察到电压值的变化。 (2) KEY&DAC:
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2024-05-22
    • 文件大小:50176
    • 提供者:hyy
  1. SPMC75

    0下载:
  2. 主要内容为:μnSPTMCPU系列单片机的基本结构与组成原理;寻址方式和指令系统;片内的外设部件,如并行口、串行口、定时器、计数器、ADC和DAC(PWM)等;中断系统;汇编语言程序设计;集成开发环境IDE及较多的应用实例。书中重点介绍了凌阳公司最新推出的以SPCE061系列为主的各单片机的特点,以便用户根据需要选用所需的单片机,组成应用系统和嵌入式计算机系统。-The main contents are: μnSPTMCPU Seri
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-22
    • 文件大小:26624
    • 提供者:小柳
  1. C8051F020

    0下载:
  2. 此为C8051F020单片机开发的各种程序合集,用KEIL环境测试通过。内含FFT单片机实现程序,可实现不同点数fft的计算;有IIC总线操作程序,可通过IIC总线对24C02芯片读写;有实现串口通信的程序,利用UART与PC通信;有实现外接LCD1602显示的程序及按键控制程序;有利用DA实现简易信号发生器的DAC程序和实现内部温度采集的ADC程序。-This rar contain several programs of C8051
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-22
    • 文件大小:37888
    • 提供者:yf
  1. Smpl_DrvADC

    0下载:
  2. 華邦nuc501的sample code ;有關ADC程序的實作,by keil 環境-Winbond nuc501 the sample code the ADC program' s implementation, by keil environment
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2024-05-22
    • 文件大小:13312
    • 提供者:nic
  1. da_12

    0下载:
  2. 12位DA芯片LTC1450的 VHDL语言代码; 生成一个200kHz的正选波波形 举一反三,可以生成更多波形- VHDL code based on LTC1450,12bit ADC,which generates a sin wave at the rate of 200kHz
  3. 所属分类:其他小程序

    • 发布日期:2024-05-22
    • 文件大小:388096
    • 提供者:linchengyuan
  1. DAC0832

    0下载:
  2. 设计以89C51单片机、ADC、DAC等电路和运放电路组成的被控对象构成的单闭环反馈控制系统。 1. 硬件电路设计:89C51最小系统加上模入电路ADC0809和模出电路TLC7528;由运放构成的被控对象。 2. 控制算法:增量型的PID控制。 3. 软件设计:主程序、定时中断程序、A/D转换程序、滤波程序、D/A输出程序、PID控制程序等-Design 89C51 microcontroller, ADC, DAC and op a
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2024-05-22
    • 文件大小:2048
    • 提供者:a316789966
  1. AD574

    0下载:
  2. 逐次逼近ADC,可选择工作于12位,也可工作于8位。转换后的数据有两种 读出方式:12位一次读出;8位、4位两次读出。 具有可控三态输出缓冲器,逻辑电平为TTL电平。-Successive approximation ADC, alternative work at 12, but also can work in 8-bit. Converted to read out the data in two ways: first re
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-22
    • 文件大小:3169280
    • 提供者:韦家正
  1. CPLDMSP430

    0下载:
  2. 本范例的四大用途: 1.DDS AD9856的应用,敢说是国内首创,从原理图到PCB到源代码全部验证通过; 2.CPLD EPM240的学习板:典型的使用CPLD做高速数据采集(ADS825是40MSPS的ADC); 3.MSP430F149的学习板; 4.高速ADC与DDS联合应用的范例板 -The four purposes of this example: 1.DDS AD9856 application,
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-22
    • 文件大小:4074496
    • 提供者:jike
« 1 2 3 45 6 »

源码中国 www.ymcn.org