资源列表
排序选择:
[文档资料] 自动售货机VHDL程序与仿真
说明:library ieee; use ieee.std_logic_arith.all; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity PL_auto1 is port ( clk:in std_logic; --系统时钟 set,get,sel,finish: in std_logic; --设定、买<niuyuanlai@163.com> 在 2009-05-08 上传 | 大小:199.5kb | 下载:0
[编译器/词法分析] 递归下降分析器/词法分析
说明:实现了简单词法分析和语法分析 实现平台eclipse<zjahstu@gmail.com> 在 2009-05-08 上传 | 大小:9.26kb | 下载:0
[JSP源码/Java] java聊天室系统
说明:本聊天室是我的毕业设计程序,可以实现群聊,公聊,踢人,表情,字体设置,以及客户登陆在线人数显示。和qq相似的功能<tl3969> 在 2009-05-09 上传 | 大小:638.79kb | 下载:4
[JSP源码/Java] java聊天室系统
说明:可以实现公聊,私聊,表情,字体设置,踢人和注册,登陆等功能,可以作为毕业设计使用<tl3969> 在 2009-05-09 上传 | 大小:638.79kb | 下载:0