搜索资源列表

  1. FPGAprogram5

    2下载:
  2. 数控振荡器的频率控制字寄存器、相位控制字寄存器、累加器和加法器可以用VHDL语言描述,集成在一个模块中,提供VHDL源程序供大家学习和讨论。 -NC oscillator frequency control word register, phase control word register, and processing instruments used accumulator can be used VHDL descr ipt
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:3844
    • 提供者:许嘉
  1. AD9852CompleteDDSandItsApplication

    1下载:
  2. 摘要:AD9852是美国ANALOGDEVICES公司生产的新型直接数字频率合成器(DDS),具有频率转换速度快(小于lt~s)、频谱纯度高、工作温度范围宽(一25℃~+85℃)、集成度高等特点,是一种使用方便灵活、功能较强的芯片。AD9852由带有48位相位累加的数控振荡器、可墒程参考时钟倍乘器、反向正弦滤波器、计数倍乘器、两个300MHz12住数模转换器、高速模拟比较器和接口逻辑组成。可用于本振合成回路,高精度时钟发生器和FSK//
  3. 所属分类:开发工具

    • 发布日期:2008-10-13
    • 文件大小:126957
    • 提供者:梅名
  1. ACarrierTrackingAlgorithmBasedOnFPLL

    1下载:
  2. 介绍了一种基于锁频锁相环(FPLL)的载波跟踪算法。频率跟踪模块可以适应较大动态范围的频率变化,基于软件的数控振荡器(NCO)模块可以达到极高的频率跟踪精度。由于有锁频环的频率牵引,锁相环路滤波器可以设计得很窄,具有很好的抑噪性能,满足精确跟踪载波相位的要求。因此,该基于FPLL的载波跟踪算法可以适应信号存在较大的动态范围和噪声干扰的应用环境;同时,其鉴频鉴相算法表达式简单,易于用可编程数字器件实现。
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:162627
    • 提供者:何宁
  1. AD9852CompleteDDSandItsApplication

    0下载:
  2. 摘要:AD9852是美国ANALOGDEVICES公司生产的新型直接数字频率合成器(DDS),具有频率转换速度快(小于lt~s)、频谱纯度高、工作温度范围宽(一25℃~+85℃)、集成度高等特点,是一种使用方便灵活、功能较强的芯片。AD9852由带有48位相位累加的数控振荡器、可墒程参考时钟倍乘器、反向正弦滤波器、计数倍乘器、两个300MHz12住数模转换器、高速模拟比较器和接口逻辑组成。可用于本振合成回路,高精度时钟发生器和FSK//
  3. 所属分类:电子书籍

    • 发布日期:2024-06-16
    • 文件大小:126976
    • 提供者:梅名
  1. ACarrierTrackingAlgorithmBasedOnFPLL

    0下载:
  2. 介绍了一种基于锁频锁相环(FPLL)的载波跟踪算法。频率跟踪模块可以适应较大动态范围的频率变化,基于软件的数控振荡器(NCO)模块可以达到极高的频率跟踪精度。由于有锁频环的频率牵引,锁相环路滤波器可以设计得很窄,具有很好的抑噪性能,满足精确跟踪载波相位的要求。因此,该基于FPLL的载波跟踪算法可以适应信号存在较大的动态范围和噪声干扰的应用环境;同时,其鉴频鉴相算法表达式简单,易于用可编程数字器件实现。-Introduce an appr
  3. 所属分类:软件工程

    • 发布日期:2024-06-16
    • 文件大小:162816
    • 提供者:何宁
  1. NCO

    0下载:
  2. 基于FPGA和SRAM的数控振荡器的设计与实现-SRAM-based FPGA and NCO of the design and implementation
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-16
    • 文件大小:45056
    • 提供者:gsg
  1. DCO

    0下载:
  2. 数控振荡器:可根据输入相差改变频率控制字,产生一组正交的正弦波,SIMULINK 模型-DCO/NCO
  3. 所属分类:系统编程

    • 发布日期:2024-06-16
    • 文件大小:6144
    • 提供者:carol
  1. filter

    0下载:
  2. 数控振荡器用于产生可控的正弦波或余弦波。其实现的方法是查表法-CNC controlled oscillator used to generate the sine or cosine wave. Approach is the realization of look-up table method
  3. 所属分类:多媒体

    • 发布日期:2024-06-16
    • 文件大小:135168
    • 提供者:司令
  1. 83390078DDS

    0下载:
  2. DDS的工作原理是以数控振荡器的方式产生频率、相位可控制的正弦波。电路一般包括基准时钟、频率累加器、相位累加器、幅度/相位转换电路、D/A转换器和低通滤波器(LPF)。频率累加器对输入信号进行累加运算,产生频率控制数据X(frequency data或相位步进量)。相位累加器由N位全加器和N位累加寄存器级联而成,对代表频率的2进制码进行累加运算,是典型的反馈电路,产生累加结果Y。幅度/相位转换电路实质上是一个波形寄存器,以供查表使用。读
  3. 所属分类:嵌入式Linux

    • 发布日期:2024-06-16
    • 文件大小:44032
    • 提供者:394177191
  1. nios_softcore

    0下载:
  2. 使用NIOS软核的数字调制器,软件无线电,数控振荡器-Using the NIOS soft-core digital modulator, software radio, digitally controlled oscillator
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2024-06-16
    • 文件大小:99328
    • 提供者:tzx
  1. 95302923dds123456WORD

    0下载:
  2. 直接数字频率合成器,DDS的工作原理是以数控振荡器的方式产生频率、相位可控制的正弦波。-DDS
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-06-16
    • 文件大小:490496
    • 提供者:鲍布
  1. dpll

    1下载:
  2. 基于Verilog的数字锁相环。包括三个模块,数字鉴相器DPD、数字环路滤波器DLF、数控振荡器 DCO三部分构成-Verilog-based digital PLL. Consists of three modules, the digital phase detector DPD, digital loop filter DLF, digitally controlled oscillator DCO three parts
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-16
    • 文件大小:668672
    • 提供者:栾帅
  1. NCO

    0下载:
  2. 是数控振荡器的程序,能够产生正弦和余弦信号,是上、下变频技术的主要步骤-NCO of the program is capable of generating sine and cosine signals, is on the main steps of down-conversion technology
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-16
    • 文件大小:1024
    • 提供者:笙箫
  1. DPLL

    0下载:
  2. 数字锁相环频率合成器的设计,鉴相器、环路滤波器、数控振荡器、反馈分频器-Digital PLL frequency synthesizer, phase detector, loop filter, NCO, feedback divider
  3. 所属分类:编程文档

    • 发布日期:2024-06-16
    • 文件大小:798720
    • 提供者:taotao
  1. DPLLdesign

    0下载:
  2. 数字锁相环频率合成器的设计,数字鉴相器,数字滤波器,数控振荡器,反馈分频器-Digital PLL frequency synthesizer, digital phase detector, digital filter, digital control oscillator, the feedback divider
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2024-06-16
    • 文件大小:798720
    • 提供者:taotao
  1. Digital-IF-Receiver-Based-on-FPGA

    0下载:
  2. 基于FPGA的数字中频接收机设计与实现。近年来雷达行业提出了软件雷达的概念,数字技术在雷达中的广泛应用已成为一种必然趋势。现代雷达系统对接收机提出了更高的要求,数字接收机技术已成为实现高精度宽带雷达接收系统的一种有效途径。研究了数字接收机的相关理论和技术,介绍了数字下变频,数控振荡器、级联积分梳状滤波器和抽取。给出了一种基于FPGA的数字中频接收机实现方案,进行了分析和仿真,给出了测试结果-Design and Implementati
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-16
    • 文件大小:494592
    • 提供者:车万方
  1. lfsr

    0下载:
  2. 用于扩频通信中的M序列产生器 数控振荡器中可以提高SFDR参数-M series generater
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-16
    • 文件大小:1024
    • 提供者:王光如
  1. Numberical-Controlled-Oscillator

    0下载:
  2. 数控振荡器的设计,实验中用到的所有完整的工程文件在test8文件夹下。完整的工程文件包含: accumulator_precision.mdl frequency_resolution.mdl generating_a_ramp.mdl lutdepth_cost_a.mdl lutdepth_cost_b.mdl lutdepth_cost_c.mdl sine_wave.mdl sin
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-16
    • 文件大小:107520
    • 提供者:
  1. Code_NCO.zip

    0下载:
  2. 码数控振荡器相位累加器的位数N为32,利用verilog HDL语言在Quartus II 9.1中具体实现了载波和码NCO的设计。,The code numerically controlled oscillator phase accumulator bits N 32 verilog HDL language in the concrete realization of the design of the carrier and
  3. 所属分类:GPS编程

    • 发布日期:2024-06-16
    • 文件大小:1024
    • 提供者:cc
  1. NCO

    0下载:
  2. 一种基于FPGA的数控振荡器技术的实现方法(FPGA implementation of NC oscillator NCO)
  3. 所属分类:通讯/手机编程

    • 发布日期:2024-06-16
    • 文件大小:1764352
    • 提供者:哈迪
« 12 »

源码中国 www.ymcn.org