搜索资源列表

  1. atm.rar

    0下载:
  2. 课程设计:ATM Project源码,有四个独立程序,银行端,货物公司端,ATM取款机端,自动售货机端,应该可以算四个,还有一个VC写的配置ODBC的源吗,另外,请求一个帐号用于下载源吗
  3. 所属分类:JSP源码/Java

    • 发布日期:2024-05-25
    • 文件大小:1357372
    • 提供者:
  1. autosale

    1下载:
  2. VHDL编写的自动售货机,带找零、退币功能,数字电路课程设计!内附常态图,和dofile波形模拟文件-VHDL prepared by the vending machines, have sought to bring, the coin features, digital circuit design courses! Enclosing normal map and document dofile waveform simulat
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:3506
    • 提供者:张傻
  1. 饮料自动售货机模拟程序

    0下载:
  2. 该软件可为课程设计做参考,希望各位大侠支持~~!!!3X-the software to design courses for reference, I hope heroes support ~ ~! ! ! 3X
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:390116
    • 提供者:随风
  1. autoseller

    0下载:
  2. 这是本人的课程设计,自动售货机,内容有文件的操作,向量与迭代器的使用,友元函数的使用
  3. 所属分类:编译器/词法分析

    • 发布日期:2008-10-13
    • 文件大小:39729
    • 提供者:Dong
  1. atm

    0下载:
  2. 课程设计:ATM Project源码,有四个独立程序,银行端,货物公司端,ATM取款机端,自动售货机端,应该可以算四个,还有一个VC写的配置ODBC的源吗,另外,请求一个帐号用于下载源吗-Course Design: ATM Project source, there are four independent procedures, the bank client, goods company client, ATM client te
  3. 所属分类:JSP源码/Java

    • 发布日期:2024-05-25
    • 文件大小:1357824
    • 提供者:吴俊
  1. autosale

    0下载:
  2. VHDL编写的自动售货机,带找零、退币功能,数字电路课程设计!内附常态图,和dofile波形模拟文件-VHDL prepared by the vending machines, have sought to bring, the coin features, digital circuit design courses! Enclosing normal map and document dofile waveform simulat
  3. 所属分类:其他小程序

    • 发布日期:2024-05-25
    • 文件大小:3072
    • 提供者:张傻
  1. 饮料自动售货机模拟程序

    0下载:
  2. 该软件可为课程设计做参考,希望各位大侠支持~~!!!3X-the software to design courses for reference, I hope heroes support ~ ~! ! ! 3X
  3. 所属分类:其他小程序

    • 发布日期:2024-05-25
    • 文件大小:390144
    • 提供者:随风
  1. washing

    0下载:
  2. 洗衣机控制器 做课程设计的同学可以下了看看 用vhdl语言做的 -washing machine controller design courses so students can see where the use of the VHDL language
  3. 所属分类:其他小程序

    • 发布日期:2024-05-25
    • 文件大小:1024
    • 提供者:李莫普
  1. autoseller

    0下载:
  2. 这是本人的课程设计,自动售货机,内容有文件的操作,向量与迭代器的使用,友元函数的使用-This is my curriculum design, vending machines, the contents of the document operation, vector with the use of iterators, the Friends of the use of Function
  3. 所属分类:编译器/词法分析

    • 发布日期:2024-05-25
    • 文件大小:39936
    • 提供者:Dong
  1. AutomatSystemRequirementSpecification

    0下载:
  2. 课程软件小组自动售货机系统需求分析初稿,供大家分享-Course software group vending machine system the preliminary needs analysis for everyone to share
  3. 所属分类:人工智能/神经网络/遗传算法

    • 发布日期:2024-05-25
    • 文件大小:25600
    • 提供者:baby
  1. vhdl

    0下载:
  2. EDA 自动售货机 包涵源程序和仿真原理图。课程设计内容-EDA vending machine
  3. 所属分类:软件工程

    • 发布日期:2024-05-25
    • 文件大小:61440
    • 提供者:WX
  1. Automachine_project

    0下载:
  2. verilog 语言写的自动售货机程序,系IC课程设计代码,QUARTUS -verilog language written in a vending machine program, the Department of IC curriculum design code, QUARTUS II
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-25
    • 文件大小:418816
    • 提供者:Zeng jinqiang
  1. seller2

    0下载:
  2. wince上的模拟自动售货机,课程设计做的,有点粗糙-wince on the vending machine simulation, curriculum design to do, a little rough
  3. 所属分类:Windows CE

    • 发布日期:2024-05-25
    • 文件大小:8600576
    • 提供者:liyueq
  1. autosell

    0下载:
  2. VHDL语言的自动售货机,作为毕业设计与课程设计,已调试过了可以下载使用-VHDL language vending machine, you can download have been used to debug
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-25
    • 文件大小:2048
    • 提供者:李小平
  1. vendingmachine

    0下载:
  2. 用VC++实现一个自动售货机,适用于简单的VC课程设计。-With VC++ to achieve a vending machine for a simple VC program design.
  3. 所属分类:百货/超市行业

    • 发布日期:2024-05-25
    • 文件大小:126976
    • 提供者:hewei
  1. C-language-courses_vending-machine

    0下载:
  2. C语言课程设计 模拟自动售货机 运行在TC界面下-The C language courses designed to simulate a vending machine to run in the TC interface
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-25
    • 文件大小:92160
    • 提供者:黄勇
  1. ExampleFrame

    0下载:
  2. 自动售货机模拟程序,java小程序,用于课程设计有效-Vending machine simulation program, java applet for curriculum design
  3. 所属分类:JSP源码/Java

    • 发布日期:2024-05-25
    • 文件大小:2048
    • 提供者:bingo
  1. Verilog

    0下载:
  2. Verilog课程设计自动售货机 1)设计一个自动售货机,此机能出售1.5元、2元两种商品。出售哪种商品可有顾客按动相应的一个按键即可,并同时用数码管显示出此商品的价格。可同时购买两种、多件商品。 2)顾客投入硬币的钱数有5角、1元两种。此操作通过按动相应的两个按键来模拟,并同时用数码管将投币额显示出来。 3)顾客投币后,按一次确认键,如果投币额不足时则报警灯亮。如果投币额足够时自动送出货物(送出的货物用相应不同
  3. 所属分类:软件工程

    • 发布日期:2024-05-25
    • 文件大小:83968
    • 提供者:卢晨旭
  1. lab7_2_new

    0下载:
  2. 移动信息工程学院实验课程源码:用FSM实现soda_machine(自动售货机)-Use verilog to implemwnt a soda_machine
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-25
    • 文件大小:1965056
    • 提供者:Wangchy
  1. Students-curriculum-design-template-

    0下载:
  2. 大学本科期间的课程设计的编程模板,里面包括六个代码,分别为汽车租赁管理系统,CDMA扩频仿真,控制台自动售货机模拟系统等,适合本科初学编程者学习。-During the course of undergraduate university program designed templates, which includes six codes, namely car rental management system, CDMA spre
  3. 所属分类:其他小程序

    • 发布日期:2024-05-25
    • 文件大小:15360
    • 提供者:杨家俊
« 12 »

源码中国 www.ymcn.org