搜索资源列表

  1. Spynet3.12

    0下载:
  2. 介绍“XX企业” 进销存管理系统的特点,设计的目的等。 系统分析与设计(包括 需求分析、系统结构设计、开发与运行环境) 数据结构设计(包括概念设计、关系数据库的逻辑设计、物理结构设计) 数据结构的实现(创建数据库与表、约束等) 系统程序的实现(主要是作品的一些模块,图,以及相关重要代码) 小结(对作品、对数据库设计) 上交课程设计文档。课程设计文档和平时出勤、以及设计过程等方面占总分的30 。-Introduce
  3. 所属分类:文档资料

    • 发布日期:2024-05-18
    • 文件大小:2395136
    • 提供者:Junny
  1. CC2430-12

    0下载:
  2. CC2430 基础实验十二 AVDD实验-CC2430 12 experiments based on experiments AVDD
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-18
    • 文件大小:19456
    • 提供者:颜学波
  1. 12864-12

    0下载:
  2. 中文字库液晶显示模块使用手册 TBM12864-12,介绍详细。 -Chinese font LCD Module User' s Guide TBM12864-12, introduced in detail.
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-18
    • 文件大小:56320
    • 提供者:王宗侠
  1. hso-1.12.tar

    0下载:
  2. linux系统下3G模块USB串口驱动程序源代码最新版本,附pdf文档。3G的USB modem都用得着,有了这个就可以在linux下使用了。-3G module linux system USB serial driver source code for the latest version, pdf file attached. 3G data cards are useful
  3. 所属分类:Linux/Unix编程

    • 发布日期:2024-05-18
    • 文件大小:35840
    • 提供者:zjd
  1. jfreechart-1.0.12

    0下载:
  2. jfreechart-1.0.12.zip 可以用来作图-It can be used for drawing
  3. 所属分类:JSP源码/Java

    • 发布日期:2024-05-18
    • 文件大小:1745920
    • 提供者:xie
  1. sjjg7-12

    0下载:
  2. 数据结构ppt教程,从第7章到第12章,详细讲述了图,查找,外部排序,内部排序,文件-Data structure tutorial ppt, from Chapter 7 to Chapter 12, a detailed account of the map, find, external sorting, internal sorting, document
  3. 所属分类:其他小程序

    • 发布日期:2024-05-18
    • 文件大小:2076672
    • 提供者:熊峰
  1. libiconv-1.12.tar

    0下载:
  2. 字符集转换程序,提供iconv()函数,可将多种编码的字符集互相转换,如将GB2312->UTF-8,等等。-GNU LIBICONV- character set conversion library This library provides an iconv() implementation, for use on systems which don t have one, or whose implementati
  3. 所属分类:Windows编程

    • 发布日期:2024-05-18
    • 文件大小:4513792
    • 提供者:李喜毅
  1. 11.12

    0下载:
  2. 扫描驱动相关的资料,包含配置文件和dll文件,是一部分12-Scan driver-related information, including configuration files and dll file is part of 12
  3. 所属分类:系统编程

    • 发布日期:2024-05-18
    • 文件大小:256000
    • 提供者:mycarol
  1. Codejock.Xtreme.Toolkit.Pro

    0下载:
  2. 著名的界面美化包Xtreme ToolKit (Version 12.1.1)源码。有注册号。费了半天劲才找到的,物有所值。-Well-known interface Xtreme ToolKit Version 12.1.1 source package with serial number.
  3. 所属分类:界面编程

    • 发布日期:2024-05-18
    • 文件大小:34575360
    • 提供者:ChenLiang
  1. 12.5fenpin

    0下载:
  2. 时钟产生电路,12.5倍分频电路,可以用于参考半分频电路-Clock Generation Circuit, 12.5 times the sub-frequency circuit can be used to refer to half-frequency circuit
  3. 所属分类:其他小程序

    • 发布日期:2024-05-18
    • 文件大小:2048
    • 提供者:海霞
  1. 8021X-9.12

    0下载:
  2. 802.1x 源码,基于linux平台开发,支持基于MAC和端口的验证-802.1X source code
  3. 所属分类:Linux/Unix编程

    • 发布日期:2024-05-18
    • 文件大小:360448
    • 提供者:xu
  1. 12

    0下载:
  2. 12位的万年历 程序 非常有效 大家快来下啊-12 of the calendar program are very effective under all ah Come
  3. 所属分类:文档资料

    • 发布日期:2024-05-18
    • 文件大小:29696
    • 提供者:waby
  1. 12

    0下载:
  2. 《嵌入式Linux应用程序开发详解》第12章 Qt图形编程-" Embedded Linux application development explain" Chapter 12 Qt graphical programming
  3. 所属分类:嵌入式Linux

    • 发布日期:2024-05-18
    • 文件大小:1521664
    • 提供者:天天
  1. Sybase_PB12_WhatsNewinPowerBuilder12classic

    0下载:
  2. Whats New In Powerbuilder 12
  3. 所属分类:软件工程

    • 发布日期:2024-05-18
    • 文件大小:40960
    • 提供者:mudikx
  1. StormCraft2.0.12

    0下载:
  2. StormCraft world of warcraft emulator updated for 2.0.12 the burning crusade.It has updated networking code + added cryptography through openssl library.-StormCraft world of warcraft emulator updated for 2.0.12 the burni
  3. 所属分类:Windows编程

    • 发布日期:2024-05-18
    • 文件大小:1281024
    • 提供者:mmcfk
  1. InstallAT9-ISPv1.12

    0下载:
  2. AT91-ISP v.1.12 Install files for the AT91 ISP. Includes SAM-BA package.
  3. 所属分类:微处理器(ARM/PowerPC等)

    • 发布日期:2024-05-18
    • 文件大小:3074048
    • 提供者:riddle510
  1. keilCcompilerV8.12

    0下载:
  2. Keil c compiler v8.12
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-18
    • 文件大小:24245248
    • 提供者:john
  1. unixODBC-2.2.12.tar

    1下载:
  2. unixODBC是一个开源的ODBC子系统,是一个可用与于Linux, Mac OSX和UNIX的ODBC软件开发包-unixODBC is an Open Source ODBC sub-system and an ODBC SDK for Linux, Mac OSX, and UNIX
  3. 所属分类:数据库系统

    • 发布日期:2024-05-18
    • 文件大小:2797568
    • 提供者:websting
  1. VCLSkin5.03.07.12

    0下载:
  2. 最新delphi换肤控件, 无需破解,有源码。 支持到delphi2007,不过可以自己编译,支持最新的2010 -The latest skin delphi controls, without cracking, with source code. Support to delphi2007, but the compiler can support the up-to-date 2010
  3. 所属分类:Dephi控件源码

    • 发布日期:2024-05-18
    • 文件大小:3812352
    • 提供者:
  1. RC5_32-12-16

    0下载:
  2. algorithm rc5 - 32/1 6-algorithm rc5- 32/12/16
  3. 所属分类:书籍源码

    • 发布日期:2024-05-18
    • 文件大小:2048
    • 提供者:Tamasi
« 1 2 ... 9 10 11 12 13 1415 16 17 18 19 ... 50 »

源码中国 www.ymcn.org