搜索资源列表

  1. 扰码器Verilog

    0下载:
  2. 实现扰码的功能,主要为64位在pcs子层传输的扰码器设计(To achieve the functions of scrambling code)
  3. 所属分类:VHDL编程

源码中国 www.ymcn.org