搜索资源列表

  1. 8位加法器

    0下载:
  2. 8位加法器的原代码,主要内容下载看了就知道-Adder eight of the original code, read the main content downloaded know
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:6208
    • 提供者:luo
  1. 8位加法器

    0下载:
  2. 很简单很实用的8位加法器VHDL源代码!
  3. 所属分类:VHDL编程

  1. 8位加法器

    0下载:
  2. 8位加法器的原代码,主要内容下载看了就知道-Adder eight of the original code, read the main content downloaded know
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-30
    • 文件大小:6144
    • 提供者:luo
  1. adder_ahead8bit

    0下载:
  2. 本文件提供了用verilog HDL语言实现的8位超前进位加法器,充分说明了超前进位加法器和普通加法器之间的区别.-using verilog HDL achieve the eight-ahead adder, fully demonstrates the CLA for ordinary Adder and the distinction between.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-30
    • 文件大小:10240
    • 提供者:剑指眉梢
  1. VHDLEXAMPLEppt

    0下载:
  2. 介绍8位加法器、分频电路、数字秒表的PPT,带源码,解释详细,一步一步学习,是学习VHDL的好-introduced eight Adder, the frequency divider circuit, digital stopwatch, the PPT, with the source code, explained in detail, step by step, learning, VHDL is a good learnin
  3. 所属分类:文件格式

    • 发布日期:2024-05-30
    • 文件大小:527360
    • 提供者:刘一
  1. ADDER8B

    0下载:
  2. 8位加法器VHDL 8位加法器VHDL-eight Adder VHDL e ight Adder VHDL eight Adder VHDL 8 Adder VHDL
  3. 所属分类:编译器/词法分析

    • 发布日期:2024-05-30
    • 文件大小:45056
    • 提供者:
  1. VHDL

    0下载:
  2. VHD设计实例8位加法器的设计分频电路数字秒表的设计-VHD Design 8 adder design of sub-frequency circuit design of digital stopwatch
  3. 所属分类:文档资料

    • 发布日期:2024-05-30
    • 文件大小:569344
    • 提供者:yyy
  1. adder

    0下载:
  2. 8位超前进位加法器 就是使各位的进位直接由加数和被加数来决定,而不需要依赖低位进位-8-bit CLA is to make your binary direct summand by summand and to decide, rather than to rely on low binary
  3. 所属分类:并行运算

    • 发布日期:2024-05-30
    • 文件大小:7168
    • 提供者:
  1. 8adderverilog

    0下载:
  2. 8位加法器的实现,非流水线结构,很不错。我测试过,效率比较高-8-bit adder realization, non-pipelined structure, is pretty good. I
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-30
    • 文件大小:1024
    • 提供者:张明
  1. adder8b

    0下载:
  2. 本程序是利用两个4位二进制并行加法器通过级联方式构成一个8位加法器。-This procedure is to use two four parallel binary adder cascade manner through an 8-bit adder.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-30
    • 文件大小:1024
    • 提供者:liushenshen
  1. eecadd_8

    0下载:
  2. 此程序采用VHDL语言,利用元件例化语句,在带BCD码转换的4位加法器的基础上完成8位加法器的例化-This procedure using VHDL language, the use of components Example of statement with BCD code-switching in the four adder based on the completion of 8 Example of Adder
  3. 所属分类:并行运算

    • 发布日期:2024-05-30
    • 文件大小:1024
    • 提供者:韩善华
  1. work1ADD8

    0下载:
  2. 组合电路的设计8位加法器设计(ADD8.vhd)-Combinational Circuit Design 8-bit adder design (ADD8.vhd)
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-30
    • 文件大小:56320
    • 提供者:lkiwood
  1. jfq

    0下载:
  2. 加法器是实现两个二进制数相加运算的 基本单元电路。8 位加法器就是实现两个8 位 二进制相加,同时加上低位进位的运算电路。-Adder is to achieve the sum of two binary computing the basic unit of the circuit. 8-bit adder is to realize the sum of two 8-bit binary, at the same time
  3. 所属分类:软件工程

    • 发布日期:2024-05-30
    • 文件大小:71680
    • 提供者:asd
  1. multiplier

    0下载:
  2. 该乘法器是由8位加法器构成的以时序方式设计的8位乘法器。 其乘法原理是:乘法通过逐项移位相加原理来实现,从被乘数的最低位开始,若为1,则乘数左移后与上一次的和相加;若为0,左移后以全零相加,直至被乘数的最高位。-The multiplier is 8-bit adder consisting of time-series design to the 8-bit multiplier. The multiplication princ
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-30
    • 文件大小:103424
    • 提供者:lsp
  1. daima

    0下载:
  2. 用VHDL语言设计一个8位加法器: 在八位加法器代码一中:加法器是由两个4位二进制加法器U1和U2组成的8位加法器逻辑电路,其中U1用来装载8位加法器中两个加数的低4位,而U2则用来装载高4位。在设计4位加法器时,定义输入信号量CIN、A、B以及输出信号量S、Cout。定义信号量SINT/AA/BB,将加数A和0并置后赋给AA,加数B和0并置后赋给BB,形成5位二进制数,这是为在做加法时发生溢出所做的处理,然后将加数AA与BB以及进
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-30
    • 文件大小:9216
    • 提供者:SAM
  1. adder3

    0下载:
  2. 此源代码是基于Verilog语言的七人投票表决器 、2 个 8 位数相乘 、8 位二进制数的乘法 、同一循环的不同实现方式、使用了`include 语句的 16 位加法器 、条件编译、加法计数器中的进程、任务、测试、函数、用函数和 case语句描述的编码器、阶乘运算函数、测试程序 、顺序执行、并行执行,特别是七人投票表决器,这是我目前发现的最优的用硬件描述的源代码。-The Verilog language source code is
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-30
    • 文件大小:2048
    • 提供者:王柔毅
  1. 超前进位加法器

    0下载:
  2. 8*8超前进位加法器,Verilog初学教程(file name is adder.v adder 8*8 bit)
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-30
    • 文件大小:78848
    • 提供者:hao123456
  1. pipeline_adder

    0下载:
  2. 用于快速计算32位加法,共分5级锁存器,4个8位加法器(pipeline_adder it helps you to add 32 bits swiftly if you need more information,may call me by the website account,it's really helpful)
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-30
    • 文件大小:79872
    • 提供者:Joker13213
  1. labview

    0下载:
  2. 数字电路的8位加法器哦上传上来互相学习学习(The 8 bit adder of digital circuit is uploaded to learn from each other)
  3. 所属分类:LabView

    • 发布日期:2024-05-30
    • 文件大小:16384
    • 提供者:clearlove9
  1. exp01_adc32

    0下载:
  2. 通过4位加法器实现32位加法器,使用串行进位的方式首先设计一个8位全加器,然后在8位全加器的基础上设计实现32位全加器(A 32 bit adder is implemented through a 4 bit adder. First, a 8 bit full adder is designed using serial carry. Then, a 32 bit full adder is designed on the basi
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2024-05-30
    • 文件大小:542720
    • 提供者:Dramazoey_wong
« 12 3 4 5 6 7 »

源码中国 www.ymcn.org