搜索资源列表

  1. dds

    0下载:
  2. 用FPGA实现DDS,可变频,幅值由硬件完成
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:675423
    • 提供者:liuyu
  1. DDS-2

    0下载:
  2. 用FPGA实现DDS的原理图,结构清晰,采用总线方式与外部单片机通信
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:13229
    • 提供者:赵培立
  1. 用FPGA实现DDS信号发生及用MODELSIM仿真

    1下载:
  2. 该工程是用verilog编写,FPGA内部产生ROM及ADD加法器。ROM中存正弦波信号。文件夹中还包含modelsim仿真。
  3. 所属分类:VHDL编程

    • 发布日期:2011-03-21
    • 文件大小:2527046
    • 提供者:zhengguo22
  1. Project1-DDS

    0下载:
  2. 直接频率和成DDS,可以在Altera的FPGA下载实现-directly into DDS frequency and can be downloaded from Altera FPGA Implementation
  3. 所属分类:通讯编程

    • 发布日期:2024-06-05
    • 文件大小:8192
    • 提供者:lf
  1. dds

    0下载:
  2. 用FPGA实现DDS,可变频,幅值由硬件完成-Using FPGA realize DDS, can be frequency, amplitude from hardware to complete
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-05
    • 文件大小:674816
    • 提供者:liuyu
  1. FPGA--DDS-PhaseMeasure

    0下载:
  2. Verilog实现的DDS正弦信号发生器和测频测相模块,DDS模块可产生两路频率和相位差均可预置调整的值正弦波,频率范围为20Hz-5MHz,相位范围为0°-359°,测量的数据通过引脚传输给单片机,单片机进行计算和显示。-Verilog realize the DDS sine wave signal generator and frequency measurement module test phase, DDS module c
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-06-05
    • 文件大小:1371136
    • 提供者:haoren
  1. DDS-2

    0下载:
  2. 用FPGA实现DDS的原理图,结构清晰,采用总线方式与外部单片机通信-FPGA realization of DDS with the schematic diagram, structural clarity, the use of bus-way communication with the outside Singlechip
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-05
    • 文件大小:13312
    • 提供者:赵培立
  1. DDS

    0下载:
  2. 用51和 FPGA实现的 DDS的程序-FPGA with 51 and realize the process of DDS
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-05
    • 文件大小:5120
    • 提供者:胡玉贵
  1. dds

    0下载:
  2. FPGA实现直接数字信号源.一个相位累加器的设计-FPGA realization of direct digital signal source. A phase accumulator design
  3. 所属分类:其他小程序

    • 发布日期:2024-06-05
    • 文件大小:5120
    • 提供者:马彩青
  1. dds_an_quicklogic

    0下载:
  2. 该文档是QUICKLOGIC的一篇关于用FPGA实现DDS的设计指导。-The document is an article on using the QuickLogic FPGA design guidance to achieve DDS.
  3. 所属分类:软件工程

    • 发布日期:2024-06-05
    • 文件大小:46080
    • 提供者:cobain
  1. Wave_ROM

    0下载:
  2. 基于RAm的FPGA实现DDS,有测试文件-Ram realize the FPGA-based DDS, have the test paper
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-05
    • 文件大小:5120
    • 提供者:xsj
  1. dds

    0下载:
  2. 利用fpga实现的DDS,可输出正弦波,输出频率可调-FPGA realization of the use of DDS, sine wave output, output frequency adjustable
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-05
    • 文件大小:468992
    • 提供者:qlg
  1. dds

    0下载:
  2. dds算法的fpga实现 altera 根据不同设置,输出不同频率的信号源-dds algorithm to achieve fpga set according to different altera, the output of the signal source at different frequencies
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-05
    • 文件大小:1086464
    • 提供者:liulei
  1. dds

    0下载:
  2. 基于fpga的函数发生器设计通过fpga实现正弦波输出-基于fpga的函数发生器
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-05
    • 文件大小:62464
    • 提供者:江孝栋
  1. DDS-FM-FPGA

    0下载:
  2. DDS介绍,FM信号发生器的设计!基于DDS技术的FM信号发生器的设计及其FPGA实现-DDS introduced, FM Signal Generator! FM signal based on DDS technology and FPGA Implementation Generator
  3. 所属分类:软件工程

    • 发布日期:2024-06-05
    • 文件大小:356352
    • 提供者:雨夜里
  1. dds

    0下载:
  2. MSP430控制FPGA实现DDS的程序!-FPGA realization of DDS MSP430 control procedures!
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-06-05
    • 文件大小:41984
    • 提供者:甘旭东
  1. dds

    0下载:
  2. verilog 硬件语言实现DDS,使用ise11.1和modelsim se6.5仿真测试-verilog hardware language DDS, using the simulation test ise11.1 and modelsim se6.5
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-05
    • 文件大小:2594816
    • 提供者:linzi
  1. dds-design

    0下载:
  2. fpga实现dds,实现任意波形输出信,设计代码verilog-dds fpga realization
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-05
    • 文件大小:1024
    • 提供者:cc
  1. DDS

    0下载:
  2. 用verilog语言,在fpga上实现dds信号发生器,并在vga上显示出来(Verilog realizes DDS Signal Generator)
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-05
    • 文件大小:39298048
    • 提供者:灵风轩允
  1. verilog实现dds

    1下载:
  2. 基于FPGA实现信号发生器的的功能,较好的参考资料。(The function of signal generator is realized based on FPGA, which is a good reference.)
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-05
    • 文件大小:2594816
    • 提供者:sudochang
« 12 3 4 5 6 7 8 9 10 »

源码中国 www.ymcn.org