搜索资源列表

  1. EP1C6_12_3_VGAimg

    0下载:
  2. 基于fpga和sopc的用VHDL语言编写的EDA的VGA彩条信号显示控制器
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2008-10-13
    • 文件大小:21704
    • 提供者:多幅撒
  1. 453

    0下载:
  2. 基于FPGA的VGA时序彩条信号实现方法及其应用
  3. 所属分类:操作系统开发

    • 发布日期:2008-10-13
    • 文件大小:134684
    • 提供者:chenjj
  1. VGA.txt

    0下载:
  2. 基于FPGA的VGA显示器彩条发生器 是必备的VGA原码控制
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:1161
    • 提供者:王伟
  1. vhdl_vga

    0下载:
  2. 彩条信号发生器使用说明 使用模块有:VGA接口、脉冲沿模块、时钟源模块。 使用步骤: 1. 打开电源+5V 2. 信号连接,按下表将1K30信号与实际模块连接好。 3. 1K30板连接好并口线,并将程序加载。 4. 将彩色显示器的线与VGA接口连接好。 5. 彩条信号就可以在显示器中产生,通过脉冲沿模块按键MS1可以改变产生彩条的 -color of the signal generator for u
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-17
    • 文件大小:95232
    • 提供者:刘浪
  1. EP1C6_12_3_VGAimg

    0下载:
  2. 基于fpga和sopc的用VHDL语言编写的EDA的VGA彩条信号显示控制器-FPGA and SOPC based on the use of VHDL language EDA color signal of the VGA display controller
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2024-06-17
    • 文件大小:21504
    • 提供者:多幅撒
  1. VGA_1024×768×85

    0下载:
  2. 用verilog hdl实现的VGA显示彩条信号,其中包括VGA时序、竖彩条、横彩条、棋盘格-Using verilog hdl realize the VGA display color signals, including VGA timing, vertical color, Wang Cai, the checkerboard lattice
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-17
    • 文件大小:450560
    • 提供者:华磊
  1. VGA.txt

    0下载:
  2. 基于FPGA的VGA显示器彩条发生器 是必备的VGA原码控制-FPGA-based color VGA display generator is an essential source control VGA
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-17
    • 文件大小:1024
    • 提供者:王伟
  1. VGA

    0下载:
  2. VGA彩色信号控制器设计:用VHDL语言编写程序,重点完成三个功能: 1.棋盘格图案显示: 用三基色原理在CRT显示器上显示由横竖八彩条重叠构成的棋盘格图案; 2.在显示器上依次显示0~9十个数字: 每个数字不同颜色,每个显示大约0.4秒,循环显示; 3.显示动画效果: 将静态图像以高频率显示,造成动画效果,最终动态显示OVER结束。-VGA color signal controller design: usi
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-17
    • 文件大小:186368
    • 提供者:刘峰
  1. TFT

    1下载:
  2. 基于FPGA的实验。使用FPGA直接控制TFT彩屏,达到显示彩条的效果。使用FPGA连接TFT控制器,使显示一组汉字或一幅图像。 -FPGA-based experiment. FPGA to directly control the use of TFT color display to show the effect of color. TFT controller using FPGA connected to a group
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-17
    • 文件大小:1024
    • 提供者:贺欧
  1. vga_rgb

    0下载:
  2. 基于FPGA的实验。编写程序实现VGA彩条显示。像素800x600,刷新频率75Hz,实现8位色的彩条显示-FPGA-based experiment. Programming to achieve color VGA display. Pixel 800x600, refresh rate 75Hz, to achieve 8-bit color display color
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-17
    • 文件大小:1024
    • 提供者:贺欧
  1. VGA

    0下载:
  2. FPGA嵌入式开发的源代码,本实例是实现VGA彩条信号处理-the source file based on FPGA
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-17
    • 文件大小:324608
    • 提供者:ganzhhua
  1. TFTLCD

    1下载:
  2. 基于FPGA的彩屏LCD控制器,800*480,显示彩条,TFT LCD型号AT070TN83-The TFT Lcd controller based on FPGA.The Matrix is 800*480,it can display color bands.
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-17
    • 文件大小:1024
    • 提供者:willam
  1. vga_example

    0下载:
  2. 通过fpga开发板的vga接口连接显示器,显示8种颜色的彩条-fpga used to display 8-colors through the VGA interface.
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-17
    • 文件大小:153600
    • 提供者:wuyoufei
  1. fpga-vga

    0下载:
  2. 本设计介绍了一种利用可编程器件FPGA,应用VHDL和Verilog两种语言实现VGA(video graphic array)图像控制器的设计方案,通过采用FPGA(Filed programmable Gate Array)芯片设计和VGA接口将要显示的数据直接送到显示器主要设计出一些重要图像的各个功能模块,并且通过系统仿真软件和FPGA硬件实验板来验证设计结果的正确性。 本设计首先对FPGA芯片和图像的显示原理以及VGA显示
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-17
    • 文件大小:5575680
    • 提供者:郭晓阳
  1. adv7390_test_U29_auto

    1下载:
  2. ADV7390芯片for FPGA XC7K325T的测试程序,内包含芯片的SPI配置参数,程序烧录进FPGA后接BNC线可以在监视器上看到滚动的彩条。- ADV7390 for chip FPGA testing procedures XC7K325T, SPI configuration parameters included in the chip, the program downloaded into FPGA co
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-17
    • 文件大小:12935168
    • 提供者:符炜剑
  1. vga

    0下载:
  2. fpga控制vga在显示器上的彩条显示()
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-17
    • 文件大小:11264
    • 提供者:文心星辰
  1. color_bar

    0下载:
  2. 使用verilog编写的模块,输出1080p彩条测试视频,输入时钟频率可以为74.25M或者148.5M(The use of Verilog module, 1080p color video output test, input clock frequency is 74.25M or 148.5M)
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-17
    • 文件大小:1024
    • 提供者:星沉大海
  1. 基于FPGA的彩色符号设计

    0下载:
  2. a、设计可显示横彩条和纵彩条的VGA彩条信号; b、设计可显示英语字母的VGA彩条信号; c、设计可显示移动彩色斑点的VGA彩条信号; d、设计可实现手动切换a、b、c三个功能.(The design can display VGA color color and color of the longitudinal cross signal. The design can display the VGA color signal
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-17
    • 文件大小:435200
    • 提供者:ciuciuciu
  1. Altera_lcd_color_bar_117

    0下载:
  2. altera公司飓风四代芯片,LCD屏幕彩条显示,有效实现行、场扫描。练习FPGA驱动VGA或LCD显示的入门程序(Altera hurricane four generation chip, LCD screen color display, the effective realization of line and field scanning.Practice FPGA to drive VGA or LCD display)
  3. 所属分类:VHDL编程

  1. 08_1_hdmi_output_test

    1下载:
  2. 基于fpga的hdmi彩条输出实验 , 彩条生成模块加上hdmi编码器,输出TMDS信号接到显示器即可看到彩条。 可以调整输出分辨率(HDMI color bar output experiment based on FPGA The color bar generation module and the HDMI encoder can output the TMDS signal to the display and see the
  3. 所属分类:VHDL编程

    • 发布日期:2019-03-25
    • 文件大小:1579008
    • 提供者:黑鱼秋水
« 12 3 »

源码中国 www.ymcn.org