搜索资源列表

  1. lcdVHDL

    0下载:
  2. VHDL语言 用来实现对LCD的控制,实现显示功能-VHDL language used to achieve the right LCD control, achieving display function
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:5001
    • 提供者:wang
  1. LCDVHDL

    0下载:
  2. LCD控制VHDL程序与仿真程序代码很有价值
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:4785
    • 提供者:黄康
  1. lcdVHDL

    0下载:
  2. VHDL语言 用来实现对LCD的控制,实现显示功能-VHDL language used to achieve the right LCD control, achieving display function
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-09
    • 文件大小:5120
    • 提供者:wang
  1. LCDVHDL

    0下载:
  2. LCD控制VHDL程序与仿真程序代码很有价值-LCD control procedures and simulation of VHDL code valuable
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-09
    • 文件大小:4096
    • 提供者:黄康
  1. lcdvhdl

    0下载:
  2. This LCD controler write in vhdl. Use HD44350A01 controler lcd-This is LCD controler write in vhdl. Use HD44350A01 controler lcd
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-09
    • 文件大小:4096
    • 提供者:darek

源码中国 www.ymcn.org