搜索资源列表

  1. Digital_system_design_example

    0下载:
  2. 数字系统设计实例.pdf,VHDL语言实现,7.1 半整数分频器的设计7.2 音乐发生器7.3 2FSK/2PSK信号产生器7.4 实用多功能电子表7.5 交通灯控制器 7.6 数字频率计.值得一看。-digital system design examples. Pdf, VHDL, 7.1-integer divider design Music Generator 7.2 7.3 2FSK/2PSK Signal Genera
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:457718
    • 提供者:王天
  1. jiaotong

    0下载:
  2. 交通灯控制器的VHDL设计,能控制十字路口的红绿灯转换,通过目标芯片EPF10KLC84-4验证
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:328361
    • 提供者:ellala
  1. 交通灯VHDL

    0下载:
  2. 相关知识 本实验要设计实现一个十字路口的交通灯控制系统,与其他控制系统一样,本系统划分为控制器和受控电路两部分。控制器使整个系统按设定的工作方式交替指挥双方向车辆通行,并接收受控部分的反馈信号,决定其状态转换方向及输出信号,控制整个系统的工作过程。 路*通灯控制系统的有东西路和南北路交通灯 R(红)、Y(黄)、G(绿)三色,所有灯均为高电平点亮。设置20s 的通行时间和5s 转换时间的变模定时电路,用数码管显示剩余时间。提供系统正常工作
  3. 所属分类:文档资料

  1. VHDLgdewrrrrrrrrrrrr

    0下载:
  2. 本设计中选用目前应用较广泛的VHDL硬件电路描述语言,实现对路*通灯系统的控制器的硬件电路描述,通过编译、仿真,并下载到CPLD器件上进行编程制作,实现交通灯系统的控制过程。EDA技术是用于电子产品设计中比较先进的技术,可以代替设计者完成电子系统设计中的大部分工作,而且可以直接从程序中修改错误及系统功能而不需要硬件电路的支持,既缩短了研发周期,又大大节约了成本,受到了电子工程师的青睐。实现路*通灯系统的控制方法很多,可以用标准逻辑器
  3. 所属分类:Windows编程

    • 发布日期:2024-05-29
    • 文件大小:4096
    • 提供者:jazvy
  1. Digital_system_design_example

    0下载:
  2. 数字系统设计实例.pdf,VHDL语言实现,7.1 半整数分频器的设计7.2 音乐发生器7.3 2FSK/2PSK信号产生器7.4 实用多功能电子表7.5 交通灯控制器 7.6 数字频率计.值得一看。-digital system design examples. Pdf, VHDL, 7.1-integer divider design Music Generator 7.2 7.3 2FSK/2PSK Signal Genera
  3. 所属分类:其他小程序

    • 发布日期:2024-05-29
    • 文件大小:457728
    • 提供者:王天
  1. C51CrossLight

    0下载:
  2. 1.设计一个交通灯控制器。 2.利用学习机上的发光二极管,设定东、南、西、北4个方向,各3个灯(红、黄、绿)。交通灯控制器正常工作时,南北方向红灯亮3秒,黄灯闪2秒,绿灯亮3秒,以此类推。东西方向绿灯亮3秒,黄灯闪2秒,红灯亮3秒,以此类推。 3.设定两个紧急按钮,一个控制南北灯,一个控制东西灯。当按下相应的紧急键时,其控制方向的交通灯亮绿灯,其他方向的交通灯亮红灯,至自控键松开,恢复正常交通控制。 -1. Design o
  3. 所属分类:汇编语言

    • 发布日期:2024-05-29
    • 文件大小:10240
    • 提供者:wangpeng
  1. traffic_control

    0下载:
  2. 设计制作一个用于十字路口的交通灯控制器 有一组绿、黄、红灯用于指挥交通,绿灯、黄灯和红灯的持续时间分别为20秒、5秒和25秒; 当有特殊情况(如消防车、救护车等)时,两个方向均为红灯亮,计时停止,当特殊情况结束后,控制器恢复原状态,继续正常运行-design a crossroads for the traffic signal controller is a group in green, yellow and red li
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-29
    • 文件大小:2048
    • 提供者:飘来的南风
  1. cross_lights

    0下载:
  2. 本设计中选用目前应用较广泛的VHDL硬件电路描述语言,实现对路*通灯系统的控制器的硬件电路描述,通过编译、仿真,并下载到CPLD器件上进行编程制作,实现交通灯系统的控制过程。EDA技术是用于电子产品设计中比较先进的技术,可以代替设计者完成电子系统设计中的大部分工作,而且可以直接从程序中修改错误及系统功能而不需要硬件电路的支持,既缩短了研发周期,又大大节约了成本,受到了电子工程师的青睐。实现路*通灯系统的控制方法很多,可以用标准逻辑器
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-29
    • 文件大小:4096
    • 提供者:
  1. TrafficLight

    0下载:
  2. 设计一个交通信号灯控制器,由一条主干道和一条支干道汇合成十字路口,在每个入口处设置红、绿、黄三色信号灯,红灯亮禁止通行,绿灯亮允许通行,黄灯亮则给行驶中的车辆有时间停在禁行线外。-The design of a traffic signal controller, by a trunk and a branch road汇合成crossroads at the entrance to set up in each red, green,
  3. 所属分类:Windows编程

    • 发布日期:2024-05-29
    • 文件大小:282624
    • 提供者:zhuzi200803
  1. jiaotong

    0下载:
  2. 交通灯控制器的VHDL设计,能控制十字路口的红绿灯转换,通过目标芯片EPF10KLC84-4验证-Traffic lights controller VHDL design, can be controlled by traffic lights at the crossroads of the conversion, through the target chips EPF10KLC84-4 verification
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-29
    • 文件大小:327680
    • 提供者:ellala
  1. traffic_control

    0下载:
  2. 软件开发环境:ISE 7.1i 仿真环境:ISE Simulator 1. 这个实例实现通过ISE Simulator工具实现一个具有两个方向共八个灯的交通灯控制器; 2. 工程在project文件夹中,双击traffic.ise文件打开工程; 3. 源文件在rtl文件夹中,traffic.v为设计文件,traffic_tb.tbw是仿真波形文件; 4. 打开工程后,在工程浏览器中选择traffic_tb.t
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-29
    • 文件大小:248832
    • 提供者:李华
  1. Design_of_Traffic_Light_Controller_Based_on_VHDL.r

    0下载:
  2. :传统的交通灯控制器多数由单片机或PLC来实现,文中介绍了基于VHDL硬件描述语言进行交通灯控制 器设计的一般思路和方法。选择XIL INX公司低功耗、低成本、高性能的FPGA芯片,采用ISE5. X和MODELSIM SE 6. 0开发工具进行了程序的编译和功能仿真。最后给出了交通灯控制器的部分VHDL源程序和仿真结果,仿 真结果表明该系统的设计方案正确。-Traffic light controller is usual
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-29
    • 文件大小:434176
    • 提供者:li
  1. CPLD

    0下载:
  2. 摘要:本文主要介绍以CPLD 芯片进行十字路口的交通灯的设计,用CPLD 作为交通灯控制器的主控芯片,采用VHDL 语言编写控制程序,利用CPLD的可重复编程和在动态系统重构的特性,大大地提高了数字系统设计的灵活性和通用性。 关键词:CPLD;VHDL;交通灯控制器 中图分类号:TP39 Abstract :This paper introduces the electronic-traffic lamp, which
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-29
    • 文件大小:34816
    • 提供者:jimmy
  1. jtd

    0下载:
  2. 本实验要完成任务就是设计一个简单的交通灯控制器,交通灯显示用实验箱的交通灯模块和七段码管中的任意两个来显示。系统时钟选择时钟模块的1KHz 时钟,黄灯闪烁时钟要求为2Hz,七段码管的时间显示为1Hz 脉冲,即每1s 中递减一次,在显示时间小于3 秒的时候,通车方向的黄灯以2Hz的频率闪烁。系统中用S1 按键进行复位。-To complete the tasks in this experiment is to design a simp
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-29
    • 文件大小:41984
    • 提供者:卢陶
  1. jiaotongdeng

    0下载:
  2. 运用 vhdl语言编写 交通灯控制器的设计-jiaotongdeng
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-29
    • 文件大小:1107968
    • 提供者:yanzi
  1. trafficlight

    0下载:
  2. 基于VHDL硬件描述语言的数字交通灯控制器的设计与实现-VHDL hardware descr iption language based on the number of traffic light controller design and implementation
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-29
    • 文件大小:3216384
    • 提供者:林雨雄
  1. Traffic-light-design

    0下载:
  2. (1) 能显示十字路口东西、南北两个方向的红、黄、绿的指示状态; • 用两组红、黄、绿三色灯作为两个方向的红、黄、绿灯,能实现正常的倒计时功能; • 用两组数码管作为东西和南北方向的到计时显示,显示时间为红灯55秒、绿灯50秒、黄灯5秒; *(2) 按S1键后,能实现特殊状态功能: • 显示到计时的两组数码管闪烁; • 计数器停止计数并保持在原来的状态; • 东
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-29
    • 文件大小:10240
    • 提供者:薛静
  1. vhdl

    0下载:
  2. 交通灯的控制执行与拐弯基于VHDL语言的交通灯控制器设计-Traffic lights
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-29
    • 文件大小:1024
    • 提供者:张然
  1. The-traffic-light-controller-VHDL

    0下载:
  2. 基于VHDL的交通灯控制器设计,红灯45秒,黄灯5秒,绿灯40秒,运用状态机原理-The traffic light controller design based on VHDL
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-29
    • 文件大小:104448
    • 提供者:林立强
  1. TrafficLightControler

    0下载:
  2. 采用状态机方法设计的交通灯控制器,添加了紧急状态,并且具有时间倒计时显示功能,VHDL源代码-a traffic light controller designed by State machine , a state of emergency is added, and a time countdown display, VHDL source code
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-29
    • 文件大小:1024
    • 提供者:
« 12 »

源码中国 www.ymcn.org