搜索资源列表

  1. 1202

    0下载:
  2. 图形学作业 扫描线算法的一种做法,填充法-graphics operations scan line algorithm approach, filling Act
  3. 所属分类:GDI/图象编程

    • 发布日期:2008-10-13
    • 文件大小:48504
    • 提供者:胡兆波
  1. act2wav

    0下载:
  2. act录音转换成wav工具a ct录音转换成wav工具-act recording tools into wav recording act converted wav recording tools act converted wav recording tools act converted wav tools
  3. 所属分类:多媒体

    • 发布日期:2008-10-13
    • 文件大小:6871
    • 提供者:傅博
  1. ltdrv

    0下载:
  2. 参加多次笔试,居然有几次都考到了冒泡算法,一次是C#版的填空,一次是javascr ipt版的全部写出。虽然每次都凭着我对冒泡法的理解给弄出来的,但是多多少少与标准模式有点差别,在网上搜了一下关于C#版的冒泡算法,居然也没有一个象样的,自己对照算法模式认真写了一个C#版的,已经测试成功-Surprisingly, there are several bubbling to the test algorithm, is a C# vers
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:63339
    • 提供者:行通知
  1. 冒泡法改进

    0下载:
  2. 冒泡法是数据排序的一种基本算法,它的基本方法是:相邻两元素进行比较,如有需要则进行交换,每完成一次循环就将最小元素排在最后(如从大到小排序),下一次循环是将其他的数进行类似操作?如将N 个数按从大到小排序,Turbo C语言程序函数 -Bubble Act to sort the data is a basic algorithm, which is the basic method : two adjacent elements, i
  3. 所属分类:C#编程

    • 发布日期:2008-10-13
    • 文件大小:1363
    • 提供者:王想
  1. 组合

    0下载:
  2. 对任意给定的n,用字典法和邻位互换法生成并打印全部排列。-right to the arbitrary n, using the dictionary to law and Exchange Act o-generated and print all ranked.
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:1120
    • 提供者:全子
  1. 图形学直线和圆的生成算法

    0下载:
  2. 掌握直线和圆的生成算法,分别用DDA法和中点画线法在屏幕上画两条直线段-grasp of linear and circular generation algorithm, respectively DDA Act and the dotted lines in the screen painting of two straight
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:1031
    • 提供者:成龙
  1. 实现多媒体数据库

    0下载:
  2. 本程序实现了想数据库中添加多媒体文件和文件说明,同时 还可以浏览相应的文件图标。点击连接,还可以打开文件, 能够方便的查看多媒体文件的属性和打开多媒体。 通常,要想在数据库中调用多媒体文件,两种方法:内置和外挂。 一般内置法只合适于小的图象数据库。外挂法的特点: 数据库和多媒体文件相互独立,即在数据库中只有文件名, 没有文件本身。当然,数据库中文件名对应的文件最好是 都保存在同一个目录下面。-the program to the dat
  3. 所属分类:多媒体

    • 发布日期:2008-10-13
    • 文件大小:8135
    • 提供者:张波
  1. 操作系统概论

    0下载:
  2. 操作系统概论,应用原理与编程技巧及其应用。本人见解肤浅,近请原谅。...机分配给进程以及协调各个进程之间的相互关系。它由进程调度程序和.. .进程调度是低级调度,作业调度是高级调度。 14进程调度算法有:先来先服务 轮转调度分级轮转法和优先数法。其中分级轮转法较为理想。-OS Studies, Theory and Application Programming Techniques and Applications. I superf
  3. 所属分类:文件操作

    • 发布日期:2008-10-13
    • 文件大小:219770
    • 提供者:语言
  1. matlab高斯消去法

    0下载:
  2. 利用matlab程序实现列主元的高斯消去法。-using Matlab program out of the main yuan Gaussian Elimination Act.
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:22280
    • 提供者:悟凡
  1. 角度DDA法产生圆弧

    0下载:
  2. 这是用VC++中的MFC事项的,用角度DDA法产生圆弧-This is the VC MFC matters with DDA point arc generated Act
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:28287
    • 提供者:李少
  1. chengxu

    0下载:
  2. C语言解决线形方程组的高斯消去法,以及源代码-C language to solve linear equations Gaussian Elimination Act, as well as source code
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:1726
    • 提供者:袁明
  1. fydlq

    0下载:
  2. 编译原理课程设计布尔表达式输出逆波兰式运用ll(1)法-Course Design Compiler Principle output Boolean expressions using inverse Polish ll (1) Act
  3. 所属分类:编译器/词法分析

    • 发布日期:2008-10-13
    • 文件大小:5681868
    • 提供者:yxd
  1. DesignJavaAppswithUML

    1下载:
  2. 在图书馆管理系统中,要为每个借阅者建立一个账户,并给借阅者发放借阅卡(借阅卡可以提供借阅卡号、借阅者姓名),账户中存储借阅的个人信息、借阅信息以及预定信息。持有借阅卡的借阅者可以借阅书刊、返还书刊、查阅书刊信息、预定书刊并取消预定,但这些操作都是通过图书馆管理员进行的,也及借阅者不直接与系统交互,而是图书馆管理员充当借阅者的代理与系统交互。在借阅书刊时,需要输入所借阅的书刊名,书刊的ISBN/ISSN号,然后输入借阅者的图书卡号和借阅者
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:88440
    • 提供者:卢志宏
  1. While

    0下载:
  2. 是一个编译原理的课程设计,有具体的说明,是实验报告,做得比较好,是用LR法的循环语句翻译-compiler is a principle of curriculum design, a specific note, the report is an experiment, done in a better way, is to use the LR Act loop is the translation
  3. 所属分类:WEB源码

    • 发布日期:2008-10-13
    • 文件大小:13441
    • 提供者:冷清雪
  1. 高斯消元法(不列主元)

    0下载:
  2. 高斯消元法(不列主元)大学计算方法里面的一个算法,用c++编的-Gaussian Elimination Act (PCA not out) University calculation inside an algorithm, using the c series
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:896
    • 提供者:胡铭育
  1. 轮转法调度

    0下载:
  2. 处理机调度:按轮转法调度算法 随机产生进程的个数及到达时间。-Processor Scheduling : Web Act by scheduling algorithm randomly generated number and the process of arrival time.
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:2348
    • 提供者:jackyzhao008
  1. 自动售货机VHDL程序与仿真

    0下载:
  2. library ieee; use ieee.std_logic_arith.all; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity PL_auto1 is port ( clk:in std_logic; --系统时钟 set,get,sel,finish: in std_l
  3. 所属分类:文档资料

  1. JavaScript & jQuery The Missing Manual, Second Edition

    0下载:
  2. http://www.amazon.com/Javascr ipt-jQuery-David-Sawyer-McFarland/dp/1449399029 Javascr ipt lets you supercharge your HTML with animation, interactivity, and visual effects—but many web designers find the language hard to
  3. 所属分类:网页相关

    • 发布日期:2012-03-18
    • 文件大小:12477782
    • 提供者:vince628
  1. manager

    0下载:
  2. 在SCO UNIX制定界面程序 可根据文件配制菜单,而不必修改源程序,非常方便- Formulation contact surface procedure may act according to the document configuration menu in SCO the UNIX, but does not need to revise the source program, extremely facilitates
  3. 所属分类:Linux/Unix编程

    • 发布日期:2024-05-03
    • 文件大小:1131520
    • 提供者:drinker
  1. twkbaostock j2me源代码

    0下载:
  2. 目前版本已经对 nokia 手机优化界面 适用于所有 Java 手机 可根据各手机再进一步美化(定制)界面- At present the edition already optimized the contact surface to the nokia handset to be suitable may act according to various handsets to all Java handset further
  3. 所属分类:J2ME

    • 发布日期:2024-05-03
    • 文件大小:24576
    • 提供者:裘荣辉
« 1 2 ... 5 6 7 8 9 1011 12 13 14 15 ... 48 »

源码中国 www.ymcn.org