搜索资源列表

  1. add_16_bcd

    0下载:
  2. 此程序采用VHDL语言,完成在16位十六进制加法器的基础上将输出进行BCD码转换,实现输出是BCD码的16位二进制加法器
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:1073
    • 提供者:韩善华
  1. add_16_bcd

    0下载:
  2. 此程序采用VHDL语言,完成在16位十六进制加法器的基础上将输出进行BCD码转换,实现输出是BCD码的16位二进制加法器-This procedure using VHDL language, completed in 16-bit hexadecimal adder based on output BCD code conversion, the realization of output is BCD code of 16 bina
  3. 所属分类:并行运算

    • 发布日期:2024-05-20
    • 文件大小:1024
    • 提供者:韩善华

源码中国 www.ymcn.org