搜索资源列表

  1. ripple-lookahead-carryselect-adder

    0下载:
  2. Ripple Adder: 16-bit 全加,半加及ripple adder的设计及VHDL程序 Carry Look ahead Adder:4, 16, 32 bits 前置进位加法器的设计方案及VHDL程序 Carry Select Adder:16 Bits 进位选择加法器的设计方案及VHDL程序-Ripple Adder : 16-bit full adder, semi-Canada and the ripple
  3. 所属分类:文档资料

    • 发布日期:2024-03-29
    • 文件大小:15360
    • 提供者:李成
  1. ADDER

    0下载:
  2. 经过精心设计的加法器的代码,并在FPGA硬件平台实现和验证过的-Meticulously designed adder code, and FPGA hardware platform and tested
  3. 所属分类:VHDL编程

    • 发布日期:2024-03-29
    • 文件大小:1024
    • 提供者:hewg
  1. VHDL-ADDER

    0下载:
  2. VHDL的N位加法器,非常的好用,经过仿真验证的!-VHDL N-bit adder, very easy to use, after the simulation!
  3. 所属分类:VHDL编程

    • 发布日期:2024-03-29
    • 文件大小:1024
    • 提供者:郭荣天
  1. adder

    0下载:
  2. 高达16位加法器的实现,工作环境在ISE,modesim,该例程较为详细!-Up to 16-bit adder implementation, the working environment at ISE, modesim, the more detailed routines!
  3. 所属分类:VHDL编程

    • 发布日期:2024-03-29
    • 文件大小:51200
    • 提供者:马高望
  1. adder

    0下载:
  2. 加法器 可做4BIT的運算 用直接語言撰寫-Adder computing can 4BIT
  3. 所属分类:VHDL编程

    • 发布日期:2024-03-29
    • 文件大小:313344
    • 提供者:劉家亦
  1. adder

    0下载:
  2. 采用加法树流水线乘法构造八位乘法器,并分析设计的性能和结果在时钟节拍上落后的影响因素。 -Multiplication using adder tree structure line 8 multiplier, the design and analysis of the results of the performance and beat the clock on the impact of the factors behind
  3. 所属分类:VHDL编程

    • 发布日期:2024-03-29
    • 文件大小:1236992
    • 提供者:张炳良
  1. adder

    0下载:
  2. verilog 加法器设计 在modelsim下方针-verilog adder
  3. 所属分类:VHDL编程

    • 发布日期:2024-03-29
    • 文件大小:1039360
    • 提供者:兰书明
  1. ADDER

    0下载:
  2. 本设计是用32位的并行全加器的,可以实现浮点运算!-The design is a parallel 32-bit full adder, and floating-point operations can be achieved!
  3. 所属分类:中间件编程

    • 发布日期:2024-03-29
    • 文件大小:278528
    • 提供者:王强
  1. ADDER

    0下载:
  2. simple 16-bit CSA Adder
  3. 所属分类:VHDL编程

    • 发布日期:2024-03-29
    • 文件大小:65536
    • 提供者:calvin
  1. adder

    0下载:
  2. 一位全加器可由两个一位半加器与一个或门构成,该设计利用层次结构描述法,首先设计半加器电路,将其打包为半加器模块;然后在顶层调用半加器模块组成全加器电路-A full adder can be two a half-adder and an OR gate structure, the design is the use of hierarchical descr iption method, first of all the desig
  3. 所属分类:VHDL编程

    • 发布日期:2024-03-29
    • 文件大小:157696
    • 提供者:哈哈
  1. Parallel-adder

    0下载:
  2. 并行加法器是一种数位电路,其可进行数字的加法计算。在现代的电脑中,加法器存在于算术逻辑单元(ALU)之中。 加法器可以用来表示各种数值,如:BCD、加三码,主要的加法器是以二进制作运算。-Parallel adder is a digital circuit, which can be calculated the number of addition. In the modern computer, adder exists in t
  3. 所属分类:并行运算

    • 发布日期:2024-03-29
    • 文件大小:3072
    • 提供者:jlz
  1. adder

    0下载:
  2. 本设计是做了一个32位超前进位加法器,能够快速计算-This design is made of a 32-bit lookahead adder, to quickly calculate
  3. 所属分类:其他小程序

    • 发布日期:2024-03-29
    • 文件大小:38912
    • 提供者:zhaozimou
  1. Floating-Point-Adder

    0下载:
  2. 浮点数加法器IP核的vhd设计。浮点数加法运算是运输中使用最高的运算,结合vhdl和EPGA可编程技术,完成具有5线级流水线结构、符合IEEE 754浮点标准、可参数化为单、双精度的浮点数加法器。-Floating point adder design IP core vhd. Floating-point addition operation is used in most transport operations, combined
  3. 所属分类:VHDL编程

    • 发布日期:2024-03-29
    • 文件大小:154624
    • 提供者:凌音
  1. floating-point-adder

    0下载:
  2. verilog implementation of the floating point adder
  3. 所属分类:VHDL编程

    • 发布日期:2024-03-29
    • 文件大小:2048
    • 提供者:ramtin
  1. VHDL-ripple-lookahead-carryselect-adder

    0下载:
  2. vhdl code for ripple carry adder, carry select adder and carry look ahead adder
  3. 所属分类:VHDL编程

    • 发布日期:2024-03-29
    • 文件大小:17408
    • 提供者:praveen
  1. 32-rip-adder

    0下载:
  2. A ripple carry adder allows you to add two 32-bit numbers
  3. 所属分类:VHDL编程

    • 发布日期:2024-03-29
    • 文件大小:1024
    • 提供者:kaream
  1. 16-bit-adder

    0下载:
  2. 这是关于16位加法器的实现代码及仿真图形的压缩文档-This is about 16-bit adder implementation code and simulation graphics archive
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2024-03-29
    • 文件大小:177152
    • 提供者:王重
  1. A-New-Reversible-Design-of-BCD-Adder

    0下载:
  2. Designing a BCD adder
  3. 所属分类:软件工程

    • 发布日期:2024-03-29
    • 文件大小:104448
    • 提供者:Anand
  1. Optimized-design-of-BCD-adder-and-Carry

    0下载:
  2. Optimized design of BCD adder and Carry
  3. 所属分类:VHDL编程

    • 发布日期:2024-03-29
    • 文件大小:174080
    • 提供者:Christoffer
  1. Adder and Counter VHDL

    0下载:
  2. Source code of a full adder and a counter VHDL.
  3. 所属分类:VHDL编程

    • 发布日期:2017-04-15
    • 文件大小:178
    • 提供者:hameye
« 12 3 4 5 6 7 8 9 10 ... 50 »

源码中国 www.ymcn.org