搜索资源列表

  1. flowadd

    0下载:
  2. verilog编写的32位浮点加法器-32-bit Floating Point Addition Written in Verilog
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-14
    • 文件大小:1024
    • 提供者:张桓铭
  1. 51单片机汇编葵花宝典

    0下载:
  2. 各种51单片机源程序集,包括浮点数的计算,多字节的加减等算法的实现-SCM source scr ipts, including floating point calculations, multi-byte such as addition and subtraction algorithm implementation
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-14
    • 文件大小:125952
    • 提供者:周云
  1. 第五章 SIMULINK仿真基础

    0下载:
  2. 除了传统的数学运算,MATLAB支持关系和逻辑运算。如果你已经有了一些编程经验,就会对这些运算熟悉。这些操作符和函数的目的是提供求解真/假命题的答案。一个重要的应用是控制基于真/假命题的一系列MATLAB命令-addition to the traditional math, MATLAB support and logical operators. If you already have some programming experi
  3. 所属分类:2D图形编程

    • 发布日期:2024-05-14
    • 文件大小:58368
    • 提供者:肖雷
  1. yunsuan

    0下载:
  2. 简易的计算器,能进行加,减,乘,除等简单的运算!-simple calculator, capable of addition, subtraction, multiplication and simple arithmetic!
  3. 所属分类:汇编语言

    • 发布日期:2024-05-14
    • 文件大小:16384
    • 提供者:赵伟
  1. zhangjing

    0下载:
  2. 用汇编做的计算器,能够实现加,减,乘,除运算 ,还不错-do with the compilation of calculators, can be achieved, plus or minus, multiplication and addition operations, but also good
  3. 所属分类:界面编程

    • 发布日期:2024-05-14
    • 文件大小:2048
    • 提供者:张晶
  1. fpu

    0下载:
  2. 使用VHDL语言描述的单精度浮点处理器。源代码来自国外网站。可实现单精度浮点数的加减乘运算。-Described in VHDL language using single-precision floating-point processor. Web site source code from abroad. Can be achieved single precision floating point addition and su
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-14
    • 文件大小:16384
    • 提供者:WeimuMa
  1. addition

    0下载:
  2. 一个简单的gui设计案例,能实现加法运算。-Gui design a simple case of addition operations to realize.
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2024-05-14
    • 文件大小:148480
    • 提供者:邵益凯
  1. pre_norm_div

    0下载:
  2. 一种用VHDL语言描述的浮点除前规格化的源代码编程-VHDL language used to describe a floating-point addition to the source code before the standardized programming
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-14
    • 文件大小:2048
    • 提供者:zhshup
  1. filter-deniose

    0下载:
  2. matlab下实现降噪,功率谱计算。%程序中设定采样点数为256个,采样频率为10000hz, %输入信号为随机噪声和两个正弦的合成信号 %设定的滤波器的截止频率为3500hz %通过挈比雪肤滤波器,运行程序,比较滤波前后的频域波形 %滤波去除了高频信号-matlab achieve noise reduction, power spectrum calculation. Sampling procedure set
  3. 所属分类:matlab例程

    • 发布日期:2024-05-14
    • 文件大小:1024
    • 提供者:范范
  1. Addition

    0下载:
  2. Java Addition Application
  3. 所属分类:JSP源码/Java

    • 发布日期:2024-05-14
    • 文件大小:1024
    • 提供者:bugger22
  1. ovadd

    0下载:
  2. overlap addition for input sequence long
  3. 所属分类:编程文档

    • 发布日期:2024-05-14
    • 文件大小:17408
    • 提供者:hari
  1. calculaterdesignusingMATLAB

    0下载:
  2. 是一个用MATLAB编写的计算器的程序实现加减乘除等运算-MATLAB is used to prepare the calculator program, such as addition and subtraction multiplication and division operations
  3. 所属分类:其他小程序

    • 发布日期:2024-05-14
    • 文件大小:1024
    • 提供者:songyunxia
  1. jiyu-FPGA-dianziqin

    0下载:
  2. 1) 主芯片:Altera 的FLEX10K20TC144-4 STC89C58RD+。 2) 要求扩展键盘接口电路,可以实现电子琴的一般功能,进行乐曲的手动演奏,此外还应该具有存储功能,可以将演奏的乐曲进行存储并在人工控制下进行回放。 3) 完成系统方案设计。 4) 编制相应的VHDL程序并进行相应的仿真工作,完成系统的调试工作。 5) 编写51系统程序,完成初始化、系统控制等功能。 6) 利用51系统实现系统的在
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-14
    • 文件大小:68608
    • 提供者:任大志
  1. ecadd

    0下载:
  2. point addition of elliptic curve over galois field
  3. 所属分类:matlab例程

    • 发布日期:2024-05-14
    • 文件大小:372736
    • 提供者:ravishankar
  1. addition

    0下载:
  2. Parallel C++ Program with MPI to add numbers in an array
  3. 所属分类:并行运算

    • 发布日期:2024-05-14
    • 文件大小:1024
    • 提供者:Shripal
  1. jisuanjizuchnegyuanli

    0下载:
  2. 能够实现定点小数的机器数表示、定点小数的变形补码加减运算、定点小数的原码一位乘法运算和浮点数的加减运算。-Able to achieve fixed-point decimal number of machines that the deformation of complement fixed-point decimal addition and subtraction operations, the original code a
  3. 所属分类:matlab例程

    • 发布日期:2024-05-14
    • 文件大小:356352
    • 提供者:施振磊
  1. jianpanjiekoups2

    0下载:
  2. 【原创】44矩阵键盘接口程序(VHDL)(2009-10-27 201747) 标签:矩阵键盘vhdl杂谈 初级版:支持输入三个十位数字组成的两个操作数加减与或比较运算,零占位不可省。 程序代码:-【Original】 44 matrix keyboard interface program (VHDL) (2009-10-27 201747) Tags: Matrix keyboard vhdl Zatan
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-14
    • 文件大小:3072
    • 提供者:hooyu
  1. floating_point_addition_subtraction

    0下载:
  2. Simple floating point addition unit written in Verilog
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-14
    • 文件大小:3072
    • 提供者:binh
  1. Calculator

    0下载:
  2. 建议计算器,能进行浮点数的加减乘除,swing界面-Recommended calculator for floating-point addition and subtraction, multiplication and division can, swing interface
  3. 所属分类:JSP源码/Java

    • 发布日期:2024-05-14
    • 文件大小:140288
    • 提供者:strive4future
  1. Floating-Point-Adder

    0下载:
  2. 浮点数加法器IP核的vhd设计。浮点数加法运算是运输中使用最高的运算,结合vhdl和EPGA可编程技术,完成具有5线级流水线结构、符合IEEE 754浮点标准、可参数化为单、双精度的浮点数加法器。-Floating point adder design IP core vhd. Floating-point addition operation is used in most transport operations, combined
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-14
    • 文件大小:154624
    • 提供者:凌音
« 12 3 4 5 6 7 8 9 10 ... 50 »

源码中国 www.ymcn.org