搜索资源列表

  1. cpu-16-vhdl

    0下载:
  2. 16位cpu的vhdl源代码。 自己看看,没有注释。-16 cpu vhdl the source code. See for yourself, not Notes.
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:94995
    • 提供者:童宗挺
  1. CPU

    0下载:
  2. 简单的16位CPU的VHDL设计 vhdl代码和cpu设计过程
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:1489655
    • 提供者:kilva
  1. 8-cpu

    0下载:
  2. 8位CPU的VHDL设计,16条指令系统,以及部分测试代码,开发工具是quartusii_60_pc
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:2883
    • 提供者:FJ
  1. cpu16

    0下载:
  2. 一个16位cpu的vhdl代码。具体内容我也不清楚,自己慢慢研究吧-a 16 cpu of VHDL code. Specific content is not clear to me that their study it slowly
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-10
    • 文件大小:3072
    • 提供者:王林
  1. cpuTerminate

    1下载:
  2. 用VHDL 编写的一个16位的cpu 设计方案,可以执行8条指令。-use VHDL to prepare a 16 cpu design of the program, the implementation of eight instructions.
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-10
    • 文件大小:2108416
    • 提供者:宋文强
  1. the-design-of-16-bit-cpu

    0下载:
  2. 用vhdl硬件语言设计的16位cpu,上传的压缩包既包含源代码又包含详细的文档说明。-with vhdl hardware design language of the 16 cpu, Upload compressed contains both the source code also contains a detailed document shows.
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-10
    • 文件大小:128000
    • 提供者:晶晶
  1. cpu-16-vhdl

    0下载:
  2. 16位cpu的vhdl源代码。 自己看看,没有注释。-16 cpu vhdl the source code. See for yourself, not Notes.
  3. 所属分类:软件工程

    • 发布日期:2024-06-10
    • 文件大小:95232
    • 提供者:童宗挺
  1. VHDL-XILINX-EXAMPLE26

    0下载:
  2. [VHDL经典设计26例]--在xilinx芯片上调试通过--[01--1位全加器][02--2选1多路选择器][03--8位硬件加法器][04--7段数码显示译码器][05--8位串入并出寄存器][6--8位并入串出寄存器][7--内部三态总线][8--含清零和同步时钟使能的4位加法计数器][9--数控分频器][10--4位十进制频率计][11--译码扫描显示电路][12--用状态机实现序列检测器的设计][13--用状态机对ADC08
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-10
    • 文件大小:3687424
    • 提供者:hawd
  1. alu

    0下载:
  2. 16位RISC CPU的ALU,使用VHDL编写-16-bit RISC CPU
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-10
    • 文件大小:2048
    • 提供者:李斌
  1. CPU

    0下载:
  2. 简单的16位CPU的VHDL设计 vhdl代码和cpu设计过程-Simple 16-bit CPU design of the VHDL code and VHDL design process cpu
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-10
    • 文件大小:1488896
    • 提供者:kilva
  1. MyCPU16

    0下载:
  2. 16位cpu设计VHDL源码,其中包括alu,clock,memory等部分的设计-16 cpu design VHDL source code, including alu, clock, memory and other parts of the design
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-10
    • 文件大小:1089536
    • 提供者:孙冰
  1. 8-cpu

    0下载:
  2. 8位CPU的VHDL设计,16条指令系统,以及部分测试代码,开发工具是quartusii_60_pc-8-bit CPU of the VHDL design, 16 instruction, as well as some of the test code, development tools is quartusii_60_pc
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-10
    • 文件大小:3072
    • 提供者:FJ
  1. CPU16

    0下载:
  2. 用VHDL语言开发的一个16位的具有5级流水线的CPU设计-VHDL language used to develop a 16 with five lines of the CPU design
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-10
    • 文件大小:417792
    • 提供者:luanjinlong
  1. 16cpu

    0下载:
  2. 实现16位的cpu设计 内容使用verilog hdl实现,具体的实现步骤方法,都已经写到文档里面去了!-To achieve 16-bit design of the contents of the cpu using verilog hdl achieve, the specific methods to achieve these steps have already been written inside the documen
  3. 所属分类:系统编程

    • 发布日期:2024-06-10
    • 文件大小:440320
    • 提供者:gimel_sh
  1. CPU

    0下载:
  2. CPU编程,比较低层的硬件编程的 chm 资料文件--
  3. 所属分类:系统编程

    • 发布日期:2024-06-10
    • 文件大小:2714624
    • 提供者:张希行
  1. cpu-16-vhdl

    0下载:
  2. 用vhdl语用实现简单的16位cpu功能-Pragmatic use vhdl simple function of 16-bit cpu
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-10
    • 文件大小:95232
    • 提供者:陈曦
  1. ESAM_CARD

    0下载:
  2. CPU卡程序 已经在电表应用 性能良好 适合国网要求的协议-CPU card procedures have been well-suited to the meter application performance requirements of an agreement State Grid
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-06-10
    • 文件大小:6144
    • 提供者:taxiangren80
  1. CPU

    0下载:
  2. 用VHDL编的简易16位和8位CPU,可完成加减乘法移位等功能,拥有源码和设计文档,资料齐全-Compiled with VHDL simple 16-bit and 8-bit CPU, to be completed by addition and subtraction multiplication shift functions, with source code and design documents, data and c
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-10
    • 文件大小:1489920
    • 提供者:雄鹰
  1. cpu

    0下载:
  2. 16位的CPU的VHDL程序~~还有附加的生成波形,可以应用于课程设计中-16-bit CPU, VHDL ~ ~ There are additional procedures for generating waveforms, can be applied to curriculum design
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-10
    • 文件大小:1053696
    • 提供者:liuying
  1. CPU

    0下载:
  2. 16位简单cpu用VHDL语言实现。里面有好几个的》-16-bit cpu with a simple VHDL language. There are several of the "
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-10
    • 文件大小:3181568
    • 提供者:pjj
« 12 3 4 »

源码中国 www.ymcn.org