搜索资源列表

  1. decoder3to8

    0下载:
  2. 3-8译码器地简单实现,采用QUARTUSii5.0环境编译
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:112014
    • 提供者:洪磊
  1. decoder3to8

    0下载:
  2. 3-8译码器地简单实现,采用QUARTUSii5.0环境编译-3-8 decoder to realize a simple, using the compiler QUARTUSii5.0 environment
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-12
    • 文件大小:111616
    • 提供者:洪磊
  1. decoder3to8

    0下载:
  2. 简单的38译码器,语句较为简捷明了.供大家参考学习.-Simple decoder 38, a more simple and clear statement. Learning for your reference.
  3. 所属分类:中间件编程

    • 发布日期:2024-05-12
    • 文件大小:41984
    • 提供者:BC
  1. decode3to8

    0下载:
  2. Decoder3to8 in vhdl. Behavioral solution.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-12
    • 文件大小:1024
    • 提供者:andrewnick
  1. decoder3to8

    0下载:
  2. 用组合逻辑电路写的3/8译码器,非常简单,是初学者可以看看的-Written with a combination of logic circuit 3/8 decoder is very simple, a beginner can look at the
  3. 所属分类:编译器/词法分析

    • 发布日期:2024-05-12
    • 文件大小:251904
    • 提供者:luoxi
  1. decoder3to8

    0下载:
  2. 三线八线译码器,verilog语言编写,包括整个工程,作为入门的调试程序学习-Three-line eight-line decoder,verilog language,including the entire project,start the debugger as a learning
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-12
    • 文件大小:100352
    • 提供者:bob
  1. decoder3_8

    0下载:
  2. 带有一开一关功能的38译码器,已测试验证可用(an decoder 3 to 8 with switch)
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-12
    • 文件大小:4275200
    • 提供者:jingcuz

源码中国 www.ymcn.org