搜索资源列表

  1. ds18b20_verilog

    0下载:
  2. 用verilog语言编写,实现DS18B20测量温度的程序,包括整个工程文件。-Using verilog language, achieve DS18B20 temperature measurement procedures, and including the project file.
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-16
    • 文件大小:175104
    • 提供者:pangzi

源码中国 www.ymcn.org