搜索资源列表

  1. EDA

    0下载:
  2. eda技术的说明,程序VHDL(电梯\\键扫\\交通灯\\步进电机)CPLD/FPGA
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2008-10-13
    • 文件大小:1589902
    • 提供者:徐钧
  1. EDA

    0下载:
  2. 这里有一个\"EDA技术基础_第1章\",谢谢大家支持!
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2008-10-13
    • 文件大小:114256
    • 提供者:zhangtengfei
  1. EDA

    0下载:
  2. EDA技术介绍,关于EDA的简要介绍
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:350408
    • 提供者:尹福斌
  1. EDA

    0下载:
  2. 本章介绍了两个EDA技术的综合应用设计实例:数字闹钟和直接数字频率合成器DDS。
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:181846
    • 提供者:黄鹏曾
  1. EDA技术与PLD设计

    0下载:
  2. 难得一见的\"EDA技术与PLD设计\"课程的课件--A good lesson on \"EDA technique and PLD design\".
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2008-10-13
    • 文件大小:5948608
    • 提供者:王斌
  1. 电子设计自动化(EDA)

    0下载:
  2. 电子设计自动化(Electronics Design Automation)简称EDA。EDA技术是现代电子设计领域流行的、电子设计工程技术人员必备的技术;主要涉及全定制的专用集成电路(ASIC)设计、可编程ASIC设计以及用通用标准器件设计电子系统等三方面的技术,实质上就是利用计算机及EDA工具进行各类电子系统设计的方法
  3. 所属分类:文档资料

    • 发布日期:2009-03-15
    • 文件大小:15321246
    • 提供者:wiki2008@163.com
  1. EDA技术

    0下载:
  2. EDA技术与VHDL
  3. 所属分类:文档资料

    • 发布日期:2011-04-23
    • 文件大小:1910325
    • 提供者:aqingnian
  1. EDA技术与PLD设计

    0下载:
  2. 难得一见的"EDA技术与PLD设计"课程的课件--A good lesson on "EDA technique and PLD design".
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2024-06-06
    • 文件大小:5948416
    • 提供者:王斌
  1. FPGA-1553B

    1下载:
  2. MIL-STD一1553B是一种集中控制式、时分指令/响应型多路串行数据总线标 准,具有高可靠性和灵活性,已经成为现代航空机载系统设备互联的最有效的解 决方案,广泛的应用于飞机、舰船、坦克等武器平台上,并且越来越多的应用到 民用领域。完成1553B总线数据传输功能的关键部件是总线接口芯片11][41。 在对M几STD一1553B数据总线协议进行研究后,参考国外一些芯片的功能结 构,结合EDA技术,本论文提出了基于FP
  3. 所属分类:编程文档

    • 发布日期:2024-06-06
    • 文件大小:4260864
    • 提供者:xmuyfng
  1. EDA12

    0下载:
  2. EDA技术应用.用QUARTUES II 实现EDA技术实验操作,类似于精典的MAX+PLUS-EDA applications. QUARTUES II with EDA technology to achieve the experimental operation, similar to the classical MAX+ PLUS
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2024-06-06
    • 文件大小:3998720
    • 提供者:曾伟
  1. EDA

    0下载:
  2. 这里有一个"EDA技术基础_第1章",谢谢大家支持!-There is a EDA technology infrastructure _ Chapter 1, Thank you for your support!
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2024-06-06
    • 文件大小:114688
    • 提供者:zhangtengfei
  1. EDA3

    0下载:
  2. 这里接下来是"EDA技术基础_第4章.pdf" 谢谢大家!-Here is the next EDA technology infrastructure _ Chapter 4. Pdf Thank you all!
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2024-06-06
    • 文件大小:90112
    • 提供者:zhangtengfei
  1. EDA4

    0下载:
  2. 这里是:"EDA技术基础_第5章.pdf".谢谢大家的支持!-Here is: EDA technology infrastructure _ Chapter 5. Pdf . Thank you for your support!
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2024-06-06
    • 文件大小:102400
    • 提供者:zhangtengfei
  1. EDA

    0下载:
  2. 本章介绍了两个EDA技术的综合应用设计实例:数字闹钟和直接数字频率合成器DDS。-EDA chapter describes the two technologies integrated application design example: digital alarm clock and direct digital synthesizer DDS.
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-06
    • 文件大小:181248
    • 提供者:黄鹏曾
  1. eda

    0下载:
  2. 基于EDA技术设计4位十进制数字频率计的系统方案-Based on EDA technology design four decimal system solutions Cymometer
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-06
    • 文件大小:106496
    • 提供者:小草
  1. EDAandVHDL

    0下载:
  2. EDA技术与VHDL课件,利用EDA技术进行电子系统设计-EDA technology and VHDL courseware, the use of EDA technology for electronic system design
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-06
    • 文件大小:12024832
    • 提供者:黄燕
  1. EDA-basedtechnologiessuchasprecisionmulti-function

    0下载:
  2. 在对三种测频方法进行分析的基础上,介绍了基于EDA技术的等精度测频原理。给出采用AT89C51实现控制并通过FPGA来设计多功能等精度数字频率计的具体方法。该频率计可以兼顾频率计对速度、资源和测频精度等各方面的优化需求。-Frequency of three methods of analysis based on EDA technology based on the principle of frequency measuremen
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-06
    • 文件大小:136192
    • 提供者:何蓓
  1. EDA

    0下载:
  2. EDA技术在全国大学生电子设计竞赛中的应用-EDA technology in the National Undergraduate Electronic Design Contest Application
  3. 所属分类:软件工程

    • 发布日期:2024-06-06
    • 文件大小:679936
    • 提供者:slj
  1. EDA

    0下载:
  2. EDA技术及应用课件(PPT)包括可编程逻辑器件、MAXPLUS设计软件的应用、硬件描述语言VHDL、multisim2001设计软件的应用、protel 99SE电路原理图设计、Protel 99SE电路板图设计 -Technology and Application of EDA software (PPT), including programmable logic devices, MAXPLUS design softw
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2024-06-06
    • 文件大小:4123648
    • 提供者:于银
  1. EDA

    0下载:
  2. EDA技术,就是指以大规模可编程逻辑器件为设 计载体,以硬件描述语言为系统逻辑描述的主要表达方 式,以计算机、大规模可编程逻辑器件的开发软件及实验 开发系统为设计工具,通过有关的开发软件,自动完成用 软件方式设计的电子系统到硬件系统的逻辑编译、逻辑化 简、逻辑分割、逻辑综合及优化、逻辑布局布线、逻辑仿 真,直至对于特定目标芯片的适配编译、逻辑映射、编程 下载等工作,最终形成集成电子系统或专用集成芯片的一
  3. 所属分类:图形图像处理(光照,映射..)

    • 发布日期:2024-06-06
    • 文件大小:3009536
    • 提供者:董洁
« 12 3 4 5 6 7 8 9 10 ... 13 »

源码中国 www.ymcn.org