搜索资源列表

  1. 8051的内核(vhdl)

    0下载:
  2. 最完整最实用的8051的软核,用VHDL语言编写全部原代码,并有详细的注释介绍,对开发增强型多功能单片机或RSIC单片机内核和单片机SOC应用非常有参考价值-most complete most practical of the 8051 soft-core, with all the preparation VHDL source code, and the Notes for a detailed briefing on the d
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-10
    • 文件大小:212992
    • 提供者:czy
  1. uP

    0下载:
  2. 这是8位微处理器的Verilog源代码,可以欠在Flex10k10里面-This is the 8-bit microprocessor Verilog source code, can they owed in Flex10k10
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-10
    • 文件大小:95232
    • 提供者:李无志
  1. uclinux 源代码

    0下载:
  2. 基于ARM系列微处理器的uclinux代码实现,对学习操作系统原理很有帮助.-series based on the ARM microprocessor Basis source implementation of the principles of learning OS helpful.
  3. 所属分类:嵌入式Linux

    • 发布日期:2024-05-10
    • 文件大小:8394752
    • 提供者:李大伟
  1. macros

    0下载:
  2. Macros to emulate new microprocessor instructions. -Macros to emulate new microprocessor inst ructions.
  3. 所属分类:汇编语言

    • 发布日期:2024-05-10
    • 文件大小:7168
    • 提供者:hpm
  1. 8051inVHDL

    0下载:
  2. 一个8051的VHDL代码,可完整编译, 但不保证版图映射成功,可作为设计微处理器的参考-a 8051 VHDL code can be compiled integrity, but it does not guarantee success territory mapping, the microprocessor can be used as a reference design
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-10
    • 文件大小:162816
    • 提供者:韩红
  1. vhdl实例-完整微处理器系统模型

    0下载:
  2. vhdl实例-完整微处理器(cpu)系统模型 -VHDL-integrity microprocessor (CPU) system model
  3. 所属分类:其他小程序

    • 发布日期:2024-05-10
    • 文件大小:2048
    • 提供者:张洪
  1. VHDL_processor

    0下载:
  2. 利用VHDL语言描述的一个简单微处理器,可以通过修改源码来调整指令集,可以在Quartus II上直接运行和编译.-use VHDL descr iption of a simple microprocessor, can modify the source codes to adjust instruction set, Quartus II can be directly compiled and running.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-10
    • 文件大小:742400
    • 提供者:赵康
  1. modelsim_se_tutor

    0下载:
  2. modelsim_se_tutorThis is a set of notes I put together for my Computer Architecture class in 1990. Students had a project in which they had to model a microprocessor architecture of their choice. They used these note
  3. 所属分类:电子书籍

    • 发布日期:2024-05-10
    • 文件大小:2026496
    • 提供者:罗春晖
  1. VHDL-book

    0下载:
  2. This is a set of notes I put together for my Computer Architecture class in 1990. Students had a project in which they had to model a microprocessor architecture of their choice. They used these notes to learn VHDL
  3. 所属分类:电子书籍

    • 发布日期:2024-05-10
    • 文件大小:237568
    • 提供者:罗春晖
  1. verilog

    0下载:
  2. LEON(sparc)微处理器的源代码,有志于微处理器开发的多交流-LEON (sparc) the source code of the microprocessor, the microprocessor is interested in the development of multi-exchange
  3. 所属分类:微处理器(ARM/PowerPC等)

    • 发布日期:2024-05-10
    • 文件大小:212992
    • 提供者:caianning
  1. LCDConf_ST7529

    0下载:
  2. ST7529液晶驱动 The ST7529 is a driver & controller LSI for 32 gray scale graphic dot-matrix liquid crystal display systems. It generates 255 Segment and 160 Common driver circuits. This chip is connected directly to a micr
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2024-05-10
    • 文件大小:2320384
    • 提供者:chengchen
  1. 16bit_microprocessor

    0下载:
  2. This is a 16bit 8086/8088 intel style microprocessor, which has main basic function and an internal memory, 16 * 256 bit size. Thank you.-This is a 16bit 8086/8088 intel style microprocessor, which has main basic functio
  3. 所属分类:系统编程

    • 发布日期:2024-05-10
    • 文件大小:7168
    • 提供者:啊啊啊
  1. vhdl

    0下载:
  2. This file contains a selection of VHDL source files which serve to illustrate the diversity and power of the language when used to describe various types of hardware. The examp terms of basic logic gates, to more compl
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-10
    • 文件大小:173056
    • 提供者:gbj
  1. RISC32VHDL

    0下载:
  2. 32位微处理器的设计,基于vhdl实现-32-bit microprocessor design, based on VHDL realize
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-10
    • 文件大小:20480
    • 提供者:
  1. PicoBlaze

    0下载:
  2. 描述:LED示范、按钮及开关、视频输出、键入、含Xilinx PicoBlaze微处理器的存储器模块-Descr iption: LED model, buttons and switches, video output, type, including Xilinx PicoBlaze microprocessor memory modules
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-10
    • 文件大小:1721344
    • 提供者:陈晓
  1. 1-2The_Intel_Microprocessors

    0下载:
  2. Inter接口技术微处理器应用第一到2章-Inter application of the first microprocessor interface technology to Chapter 2
  3. 所属分类:软件工程

    • 发布日期:2024-05-10
    • 文件大小:2347008
    • 提供者:ZW
  1. AMBA

    0下载:
  2. ARM DOC Advanced Microprocessor Bus Architecture
  3. 所属分类:微处理器(ARM/PowerPC等)

    • 发布日期:2024-05-10
    • 文件大小:354304
    • 提供者:week
  1. ST7787

    0下载:
  2. ST7787 芯片的SPEC,比亚迪2.4inchLCM的SPEC。The ST7787 is a single-chip controller/driver for 262K-color, graphic type TFT-LCD. It consists of 720 source line and 320 gate line driving circuits. This chip is capable of connectin
  3. 所属分类:软件工程

    • 发布日期:2024-05-10
    • 文件大小:3351552
    • 提供者:
  1. computerarchitecture2006

    0下载:
  2. 16位微处理器设计方案,计算机组成原理毕业设计-16-bit microprocessor design, computer design of the composition of the principle of graduation
  3. 所属分类:软件工程

    • 发布日期:2024-05-10
    • 文件大小:1021952
    • 提供者:赵辉
  1. microprocessor

    0下载:
  2. 一个微处理器的Verilog代码,根据英文书籍《数字设计与架构》中的例子而写,能够运行MIPS指令,能正确执行跳转指令。通过modelsim仿真,含测试代码。-Verilog code for a microprocessor, according to the English book " Digital Design and Architecture" was written in the example, to
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-10
    • 文件大小:208896
    • 提供者:楚寒
« 12 3 4 5 6 7 8 9 10 ... 50 »

源码中国 www.ymcn.org