搜索资源列表

  1. ICcard

    0下载:
  2. IC电话卡计费系统,基于UNIX系统的NC—Verilog的硬件开发。-IC phone card billing system, based on the UNIX system NC-Verilog hardware development.
  3. 所属分类:Linux/Unix编程

    • 发布日期:2024-05-18
    • 文件大小:1306624
    • 提供者:王沙一
  1. NcVerilog_tutorial

    0下载:
  2. nc verilog 的使用说明和实例,对于实用nc来进行仿真进行了详细说明。-nc verilog instructions and examples for the utility to carry out simulation nc described in detail.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-18
    • 文件大小:591872
    • 提供者:李林
  1. ovm-1[1].0.1.tar

    0下载:
  2. ovm 公开的源代码,用于asic设计验证,但要ncverilog的仿真器一起用-ovm verfication package
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-18
    • 文件大小:755712
    • 提供者:yoarst
  1. PowerForwardUG

    0下载:
  2. low power user guide for NCverilog-low power user guide for Incisive ncsim, describe the Common Power Format uasge
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-18
    • 文件大小:446464
    • 提供者:samuel chuang
  1. ncvlogtut

    0下载:
  2. ncverilog tutorial for verilog
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-18
    • 文件大小:214016
    • 提供者:Anuj
  1. NCVerilog_tutorial-chinese

    0下载:
  2. linux下cadence nc_verilog工具使用教程,中文的,很详细,很适合学习-tool under linux cadence nc_verilog tutorials, Chinese, very detailed, very suitable for learning
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-18
    • 文件大小:590848
    • 提供者:pz
  1. syn_FIFO

    0下载:
  2. 同步FIFO,主要用于数据缓存,给异步FIFO打下基础,是个不错学习例子,在ncverilog中仿真通过-Synchronous FIFO, mainly used for the data cache, and lay the foundation to the asynchronous FIFO, is a good example of learning through simulation in ncverilog
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-18
    • 文件大小:1024
    • 提供者:liangldai
  1. i2c_testbench

    0下载:
  2. i2c verilog rtl with testbench very good code and works perfectly with cadence ius and ncverilog
  3. 所属分类:编辑器/阅读器

    • 发布日期:2024-05-18
    • 文件大小:11264
    • 提供者:akash man

源码中国 www.ymcn.org