搜索资源列表

  1. pc

    0下载:
  2. :首先介绍了DS--UWB系统的的发射与接收模型,然后分析了利用滑动相关法对信道进行估讣,并巾此给出 了不同RAKE接收机RAKE合成权系数选取方案。对接收机采用最大比(MRC)、最小均方误差(MM SE)及带均衡 器的(MRC)合并系数选取的误码性能进行了仿真和对比。结果表明了带均衡器的MRC—RAKE只用较少的分支 就可以达到接收性能明显优于MMSE—RAKE的程度。尤其在信噪比比较大时。这种优势更加明显。
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:951
    • 提供者:季昀
  1. Synchronous_read_write_RAM

    0下载:
  2. Synchronous read write RAM verilog。经过modelsim se仿真。
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:1104
    • 提供者:lianlianmao
  1. 23

    0下载:
  2. 索爱系统字体特效制作 ,索爱系统字体特效制作,介绍了如何在SE的手机上开发特效字体,比如渐变等
  3. 所属分类:JSP源码/Java

    • 发布日期:2008-10-13
    • 文件大小:8625
    • 提供者:李林
  1. fftinterface

    0下载:
  2. 电赛一等奖作品:音频信号分析仪的FPGA源码,VHDL编写,Quartus7.1综合,ModelSim6.2g se仿真,应用了opencores.org上的开源FFT IP核,加入了8051总线接口和ram
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:4933712
    • 提供者:李星
  1. javademo

    0下载:
  2. Java SE 6.0前11章示的示例代码,简单易学
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:65668
    • 提供者:Java编程
  1. Javademo1

    0下载:
  2. Java SE 6.0前12-16章示的示例代码,简单易学
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:67089
    • 提供者:Java编程
  1. Javademo2

    0下载:
  2. Java SE 6.0前19-25章示的示例代码,简单易学
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:49655
    • 提供者:Java编程
  1. Javademo3

    0下载:
  2. Java SE 6.0前27-31章示的示例代码,简单易学
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:461717
    • 提供者:Java编程
  1. Javademo4

    0下载:
  2. Java SE 6.0前32-34章示的示例代码,简单易学
  3. 所属分类:JSP源码/Java

    • 发布日期:2008-10-13
    • 文件大小:49396
    • 提供者:Java编程
  1. se

    0下载:
  2. 前段时间给某个朋友写的小程序,实现自动抓取某个页面的所有图片地址,并加上 <img src=\"\"\">标签,起个抛砖引玉的作用吧. 需要引入Microsoft Html Object对象,并需要Microsoft internet control控件的支持.
  3. 所属分类:Windows编程

    • 发布日期:2008-10-13
    • 文件大小:6485
    • 提供者:石刚
  1. SE

    3下载:
  2. 博士师兄编写的电力系统状态估计程序,与大家共享,希望对大家有帮助!
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:21544
    • 提供者:魏艳霞
  1. java_example_100

    1下载:
  2. 里面几乎包含了所有java SE的使用例子
  3. 所属分类:JSP源码/Java

    • 发布日期:2008-10-13
    • 文件大小:6687747
    • 提供者:bin
  1. protel

    0下载:
  2. 本人毕业设计原理图,用protel99 se打开.
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2008-10-13
    • 文件大小:1938059
    • 提供者:龙瑞鹤
  1. SELLER

    0下载:
  2. 基于verilog HDL的自动售货机控制电路设计: 可以对5种不同种类的货物进行自动售货,价格分别为A=1.00,B=1.50,C=1.80,D=3.10,E=5.00 。售货机可以接受1元,5角,1角三种硬币(即有三种输入信号IY,IWJ,IYJ),并且在一个3位7段LED(二位代表元,一位代表角)显示以投入的总钱数,最大9.90元,如果大于该数值,新投入的硬币会退出,选择货物的输入信号Ia,Ib,Ic,Id,Ie和一个放弃信号
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:1776
    • 提供者:chenyi
  1. tkernel_se_1.00.00.tar

    0下载:
  2. T-kernel 的extension源代码,是日本最著名的T-kernel所独有的,适合开发T-kernel的朋友们使用! TK/SE is the program that extends T-Kernel and provides the functions such as a file system and a process management. The TK/SE archive to be provi
  3. 所属分类:操作系统开发

    • 发布日期:2008-10-13
    • 文件大小:844091
    • 提供者:伤心人
  1. 4pcb

    0下载:
  2. 资料:用PROTELL 99 SE如何绘制4层PCB.
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:579754
    • 提供者:yy
  1. ucos51在KeilC51上仿真运行

    0下载:
  2. Demo程序经Keil701编译后,代码量为7-8K,可直接在KeilC51上仿真运行。 使用方法:解压后双击yy项目,点调试即可在串口仿真看到结果。 Demo程序创建了3个任务A、B、C优先级分别为2、3、4,A每秒显示一次,B每3秒显示一次,C每6秒显示一次。从显示结果看,显示3个A后显示1个B,显示6个A和2个B后显示1个C,结果显然正确。用户可以仿照范例运用更多系统API函数写出自己的程序。只要程序中有显示语句就可以用软件仿真器
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:730996
    • 提供者:套件
  1. PDAKeyboard2

    0下载:
  2. PDAmedia公司出品的一款键盘输入软件。特点:支持换肤;多语言键盘;全屏键盘;输入单词提示;自动剪贴簿数据传送;支持VGA;支持Windows Mobile SE。
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:561423
    • 提供者:党锴钊
  1. SE

    0下载:
  2. 51单片机编写制做的电子计算器(韩国)汇编语言、C语言
  3. 所属分类:汇编语言

    • 发布日期:2008-10-13
    • 文件大小:940246
    • 提供者:pengjun
  1. MSP430169DEMO

    0下载:
  2. msp430f169的系统设计图(protel 99 se)
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:31028
    • 提供者:Chaojiang
« 1 2 ... 5 6 7 8 9 1011 12 13 14 15 ... 50 »

源码中国 www.ymcn.org