搜索资源列表

  1. trafficlight

    0下载:
  2. 编写一个应用程序,模拟交通红绿灯。当一个按钮被选中时,相就的灯就被打开.
  3. 所属分类:汇编语言

    • 发布日期:2008-10-13
    • 文件大小:1580
    • 提供者:
  1. trafficlight

    0下载:
  2. 次程序为用VRILOG HDL 编写的交通灯控制程序
  3. 所属分类:汇编语言

    • 发布日期:2008-10-13
    • 文件大小:1232
    • 提供者:liuwei
  1. trafficlight

    0下载:
  2. 用KEIL-C编写的交通灯源代码 对课程设计的朋友很有帮助的
  3. 所属分类:汇编语言

    • 发布日期:2008-10-13
    • 文件大小:1448
    • 提供者:dragonk
  1. TRAFFICLIGHT

    0下载:
  2. 带倒计时显示,交通路口信号灯。 25秒绿,5秒红,30秒红 南北和东西路口一样。
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:1916731
    • 提供者:喻理
  1. Lab24-TrafficLight

    0下载:
  2. dsp交通灯例程 dsp交通灯例程 dsp交通灯例程
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:7481
    • 提供者:wssip
  1. TrafficLight

    0下载:
  2. 在CCS环境下基于TMS320C5416开发的控制交通灯的变化的课程设计。
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:5665
    • 提供者:qiu
  1. TrafficLights

    0下载:
  2. 十字路口的红绿灯管制问题,实现多进程并发控制。车数,车速可调-crossroads traffic light control, multi-process concurrency control. Several vehicles, adjustable speed
  3. 所属分类:进程与线程

    • 发布日期:2024-05-15
    • 文件大小:454656
    • 提供者:王回
  1. VHDLgdewrrrrrrrrrrrr

    0下载:
  2. 本设计中选用目前应用较广泛的VHDL硬件电路描述语言,实现对路*通灯系统的控制器的硬件电路描述,通过编译、仿真,并下载到CPLD器件上进行编程制作,实现交通灯系统的控制过程。EDA技术是用于电子产品设计中比较先进的技术,可以代替设计者完成电子系统设计中的大部分工作,而且可以直接从程序中修改错误及系统功能而不需要硬件电路的支持,既缩短了研发周期,又大大节约了成本,受到了电子工程师的青睐。实现路*通灯系统的控制方法很多,可以用标准逻辑器
  3. 所属分类:Windows编程

    • 发布日期:2024-05-15
    • 文件大小:4096
    • 提供者:jazvy
  1. Trafficlight2

    0下载:
  2. 本程序是用vc++做的一个模拟交通灯的程序-this procedure is used vc do a simulation of traffic lights procedures
  3. 所属分类:汇编语言

    • 发布日期:2024-05-15
    • 文件大小:39936
    • 提供者:钟伟东
  1. 8255trafficlight

    0下载:
  2. 所属分类:其他小程序

    • 发布日期:2024-05-15
    • 文件大小:27648
    • 提供者:
  1. trafficLight-verilog

    0下载:
  2. 交通灯状态机的实现,用verilog HDL编程,Xilinx ISE 6仿真,在实际电路中得到验证.-traffic lights to achieve the state machine, with verilog HDL programming, Xilinx ISE 6 simulation, the actual circuit have been tested.
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2024-05-15
    • 文件大小:1532928
    • 提供者:王越
  1. trafficlight

    0下载:
  2. 交通灯路口的一个小程序,控制四个灯,其中南灯有一个外加左转灯-traffic lights at the junction of a small procedures, controls four lights, which Southern Electric plus a left turn lights
  3. 所属分类:游戏

    • 发布日期:2024-05-15
    • 文件大小:4096
    • 提供者:scot
  1. TrafficLight1

    0下载:
  2. 交通灯的小程序,实现十字路*通灯的模拟,已编译通过-traffic lights to the small procedures, traffic lights at the crossroads of the simulation, compiled by
  3. 所属分类:汇编语言

    • 发布日期:2024-05-15
    • 文件大小:71680
    • 提供者:zhangxue
  1. trafficlight

    0下载:
  2. 仿真马路交通灯通过keilproteus进行实时调试-Simulation road traffic lights for real-time debugging keilproteus
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-15
    • 文件大小:39936
    • 提供者:
  1. Lab0601-TrafficLight

    1下载:
  2. 基于DSP5509的实际路况交通灯的模拟 希望对DSP初学者有所帮助-DSP5509 based on the actual road traffic lights analog DSP beginners want to be helpful
  3. 所属分类:DSP编程

    • 发布日期:2024-05-15
    • 文件大小:24576
    • 提供者:唐方
  1. trafficLight

    0下载:
  2. 这是一个用汇编编写的,在8255平台上运行的一个交通灯程序。-This is a compilation prepared by using, in the 8255 platform running on a program of traffic lights.
  3. 所属分类:汇编语言

    • 发布日期:2024-05-15
    • 文件大小:1024
    • 提供者:李长顺
  1. trafficlight

    0下载:
  2. 系统以SPCE061A为核心,检测键盘模块和流量检测模块,根据检测结果按照程序设定的方式去控制红绿灯模块、倒计时数码管模块和放音模块。本系统共有4组双色LED指示灯,分别接交通灯模组的CS0、CS1、CS2、CS3脚,由61板的IOB的0、1、2、3脚供电,20盏灯的亮暗变化分成4种状态,每种状态亮5盏灯,由程序决定亮哪5盏;4个数码管的高位都接CS4脚,由61板的IOB的4脚供电, 低位都接CS5脚, 由61板的IOB的5脚供电,由键
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-15
    • 文件大小:4096
    • 提供者:陈巍巍
  1. trafficlight

    0下载:
  2. VISUAL BASIC学习的趣味小程序,练习图形控件,动画效果,分支语句.本程序模拟红绿灯的自动控制效果-VISUAL BASIC fun learning applets, exercises control graphics, animation effects, branch statement. This procedure simulated the effect of the automatic control of tr
  3. 所属分类:其他游戏

    • 发布日期:2024-05-15
    • 文件大小:5120
    • 提供者:杜荣
  1. TRAFFICLIGHT--VC++

    0下载:
  2. 功能如题 单路*通灯定时控制,自己做的一个小程序-Functions such as title, single junction traffic lights timing control, own a small program to do
  3. 所属分类:交通/航空行业

    • 发布日期:2024-05-15
    • 文件大小:2296832
    • 提供者:Pete
  1. TrafficLight

    0下载:
  2. 设计一个交通信号灯控制器,由一条主干道和一条支干道汇合成十字路口,在每个入口处设置红、绿、黄三色信号灯,红灯亮禁止通行,绿灯亮允许通行,黄灯亮则给行驶中的车辆有时间停在禁行线外。-The design of a traffic signal controller, by a trunk and a branch road汇合成crossroads at the entrance to set up in each red, green,
  3. 所属分类:Windows编程

    • 发布日期:2024-05-15
    • 文件大小:282624
    • 提供者:zhuzi200803
« 1 2 34 5 6 7 8 9 10 »

源码中国 www.ymcn.org