搜索资源列表

  1. 基于半加器的全加器描述及仿真

    0下载:
  2. vhdl基于半加器的全加器描述及仿真-VHDL-based increases for the entire increase Descr iption and Simulation
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-31
    • 文件大小:197632
    • 提供者:熊辉波
  1. verilog实例

    0下载:
  2. 一些很实用的verilog源程序,是初学者的好棒手,希望能给需要的人一点帮助,请支持一下。-some very practical Verilog source is the beginners excellent hands, in hopes of giving those who need a bit of help, please support what.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-31
    • 文件大小:165888
    • 提供者:叶若寒
  1. 用一位全加器组成四位全加器

    0下载:
  2. 用一位全加器组成四位全加器. 所用语言是Verilog HDL. 主要用在加法器的设计中。-All-Canadian with a composed four-adder. The language used is the Verilog HDL. In addition main The design.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-31
    • 文件大小:3072
    • 提供者:*
  1. add

    0下载:
  2. verilog加法器产生第0 位本位值和进位值产生第1 位本位值和进位值产生第2 位本位值和进位值-Adder Verilog generated the first 0-based values and binary values of the first value and a binary-based values of the first two binary-based value and the value of
  3. 所属分类:其他小程序

    • 发布日期:2024-05-31
    • 文件大小:1024
    • 提供者:吕鹏
  1. adder4

    2下载:
  2. verilog加法器,附加测试文件 可用modelsim 仿真实现-Verilog Adder, additional test file ModelSim simulation can be used to achieve
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-31
    • 文件大小:5120
    • 提供者:luminous
  1. VerilogHDL_StepperMotor_control

    0下载:
  2. 采用Verilog HDL语言编写的步进电机位置系统,实现步进电机的定速、加速、减速、定位,且速率和加减速度都能做到连续可调的程序,对控制类相关的学习者价值很高-Using Verilog HDL language of the stepper motor position system, stepper motor speed, acceleration, deceleration, positioning, and speed an
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-31
    • 文件大小:1468416
    • 提供者:廖耿耿
  1. add_64

    0下载:
  2. 64位verilog加法器,希望对大家有帮助-Verilog 64-bit adder, and they hope to help everyone
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-31
    • 文件大小:1024
    • 提供者:
  1. add

    0下载:
  2. Verilog hdl语言 常用加法器设计,可使用modelsim进行仿真-Verilog hdl language commonly used adder design, can use the ModelSim simulation
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-31
    • 文件大小:2048
    • 提供者:许立宾
  1. Mars_EP1C6F_Fundermental_demo(Verilog)

    0下载:
  2. FPGA开发板配套Verilog HDL代码。芯片为Mars EP1C6F。是基础实验的源码。包括加法器、减法器、乘法器、多路选择器等。-FPGA development board supporting Verilog HDL code. Chips for the Mars EP1C6F. Are the basic source experiment. Including the adder, subtraction, and m
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-31
    • 文件大小:1244160
    • 提供者:chenlu
  1. verilog

    0下载:
  2. verilog语言例题集锦 包含加法器,乘法器,串并转换器等verilog源代码-Example Collection contains verilog language adder, multiplier, and converters, such as string verilog source code
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-31
    • 文件大小:113664
    • 提供者:刘佳扬
  1. Verilog

    0下载:
  2. 全加器的Verilog 实现代码 寄存器的Verilog 实现代码-Low-pass filter integral part of full-adder and register the Verilog implementation code
  3. 所属分类:能源行业(电力石油煤炭)

    • 发布日期:2024-05-31
    • 文件大小:3072
    • 提供者:田静
  1. 64B_adder

    0下载:
  2. Verilog HDL 64位并行加法器,并且还含有测试文件,可供测试-Verilog HDL 64-bit parallel adder, and also contains a test file, ready for testing
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-31
    • 文件大小:1024
    • 提供者:xxz
  1. verilog-example

    0下载:
  2. 4位并行乘法器 4位超前加法器 ALU 计数器 滤波器 全加器 序列检测器 移位器-failed to translate
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-31
    • 文件大小:6144
    • 提供者:向死而生
  1. verilog

    0下载:
  2. verilog verilog+十大基本功能 很实用,入门加提高,经验-verilog verilog+ ten basic functions very practical, entry-plus increase, experience
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-31
    • 文件大小:1637376
    • 提供者:changyounghoo
  1. verilog

    0下载:
  2. 经典Verilog源代码,包括加法器,滤波器和qpsk的设计-Classic Verilog source code, including adders, filters and qpsk design, etc. ...
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-31
    • 文件大小:54272
    • 提供者:潇潇
  1. add32

    0下载:
  2. 32位加法器,verilog实现,且有仿真图像-32-bit adder and programed by veilog
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-31
    • 文件大小:262144
    • 提供者:BOBO
  1. add

    0下载:
  2. verilog实现的完整的加法器,包括测试文件等(Verilog implements a complete adder, including test files)
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-31
    • 文件大小:1542144
    • 提供者:inchange
  1. 常用加法器设计

    0下载:
  2. 采用Verilog设计的几种常用加法器。(several adder designed by Verilog)
  3. 所属分类:硬件设计

    • 发布日期:2024-05-31
    • 文件大小:1024
    • 提供者:熊猫松松
  1. 超前进位加法器

    0下载:
  2. 8*8超前进位加法器,Verilog初学教程(file name is adder.v adder 8*8 bit)
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-31
    • 文件大小:78848
    • 提供者:hao123456
  1. 4Bit超前进位加法器门级电路设计与仿真

    0下载:
  2. 用门级网表的方法对4Bit超前进位加法器门级电路连接关系用verilog语言进行描述(The connection relation of the gate level circuit of 4Bit carry adder is described in Verilog language with the method of gate level netlist)
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-31
    • 文件大小:150528
    • 提供者:tingyumian
« 12 3 4 5 6 7 8 9 10 ... 24 »

源码中国 www.ymcn.org