搜索资源列表

  1. 基于VHDL 的数字时钟

    0下载:
  2. 用VHDL实现时钟的显示,包括七段数码管和lcd1602字符液晶,可以显示十分秒,年月日
  3. 所属分类:VHDL编程

    • 发布日期:2009-11-15
    • 文件大小:345022
    • 提供者:minmindianzi
  1. 数字频率计实验报告

    1下载:
  2. 课程设计要求设计并用FPGA实现一个数字频率计,具体设计要求如下: 测量频率范围: 10Hz~100KHz 精度: ΔF / F ≤ ±2 % 系统外部时钟: 1024Hz 测量波形: 方波 Vp-p = 3~5 V 硬件设备:Altera Flex10K10 五位数码管 LED发光二极管 编程语言:Verilog HDL / VHDL-curriculum design and FPGA design to achie
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-28
    • 文件大小:144384
    • 提供者:
  1. 数字电子钟

    0下载:
  2. 数字电子钟 此数字电子钟具有的功能包括: 1. 计时,时、分、秒显示; 2. 十二小时与二十四小时之间的转换; 3. 上下午显示; 4. 对时、分、秒的校时功能; 5. 跑表功能。-digital electronic clock this digital electronic clock with functions include : 1. Time, hours, minutes and seconds display; 2. 1
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-28
    • 文件大小:7168
    • 提供者:吴健宇
  1. 分频器VHDL描述

    0下载:
  2. 在数字电路中,常需要对较高频率的时钟进行分频操作,得到较低频率的时钟信号。我们知道,在硬件电路设计中时钟信号时非常重要的。-in digital circuits, the need for regular high frequency clock operating frequency for hours, a lower frequency of the clock signal. We know that the hardware
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-28
    • 文件大小:5120
    • 提供者:王力
  1. muxplusii --vhdl 经典程序

    0下载:
  2. 用VHDL编写的数字时钟,可变宽度脉冲产生器-prepared using VHDL digital clock, Variable width pulse generator, etc.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-28
    • 文件大小:8192
    • 提供者:vhdp
  1. LED.VHDL

    0下载:
  2. LED控制VHDL程序与仿真 分别介绍采用FPGA对LED进行静态和动态显示的数字时钟控制程序-LED control procedures and VHDL simulation briefed on the use of FPGA LED static and dynamic significantly the figures show clock control procedures
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-28
    • 文件大小:5120
    • 提供者:少龙
  1. dclocke

    0下载:
  2. vhdl数字时钟设计 目的,原理仿真 源程序-vhdl digital clock designed, the principle source Simulation
  3. 所属分类:电子书籍

    • 发布日期:2024-05-28
    • 文件大小:146432
    • 提供者:邓云君
  1. Verilog_Development_Board_Sources

    0下载:
  2. 朋友,我是Jawen.看到先前上载的一套CPLD开发板的VHDL源码挺受欢迎的,现在就将她的Verilog源码也一并贡献给大家:8位优先编码器,乘法器,多路选择器,二进制转BCD码,加法器,减法器,简单状态机,四位比较器,7段数码管,i2c总线,lcd液晶显示,拨码开关,串口,蜂鸣器,矩阵键盘,跑马灯,交通灯,数字时钟-friends, I Jawen. previously seen on the set of CPLD Develo
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-28
    • 文件大小:3151872
    • 提供者:Jawen
  1. vhdl_clock

    0下载:
  2. VHDL实现数字时钟,利用数码管和CPLD 设计的计数器实现一个数字时钟,可以显示小时,分钟,秒。程序主要要靠考虑十进制和六十进制计数器的编写。 以上实验的程序都在源代码中有详细的注释-VHDL digital clock, the use of digital control and CPLD design to achieve a number of counter clock, show hours, minutes and
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-28
    • 文件大小:324608
    • 提供者:赵海东
  1. clock_VHDL

    0下载:
  2. VHDL设计的数字时钟,有闹钟、整点报时等功能-VHDL design of the digital clock has an alarm clock, the whole point timekeeping functions
  3. 所属分类:其他行业

    • 发布日期:2024-05-28
    • 文件大小:510976
    • 提供者:王毅诚
  1. C2

    0下载:
  2. 功能更加完善的基于vhdl的数字时钟设计 有秒表,时钟,时期,闹钟的功能和整点报时,时间调整,日期调整,闹钟的设定 、、、、、、、 秒表有开始,暂停,清零等功能,且只有在暂停的情况下才能清零。-Function more complete VHDL-based design of the digital clock stopwatch, clock, time, alarm clock function and the wh
  3. 所属分类:其他小程序

    • 发布日期:2024-05-28
    • 文件大小:817152
    • 提供者:张廷
  1. time

    0下载:
  2. 多功能数字时钟设计的源程序,可以实现计时\闹钟\鸣笛等基本功能.-露 脿 鹿 | 脛脺脢媒 脳 脰脢 卤 脰脫脡猫 录 脝渭脛脭
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-28
    • 文件大小:223232
    • 提供者:HY
  1. szsz

    0下载:
  2. 数字时钟vhdl实现-Digital Clock VHDL to achieve
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-28
    • 文件大小:322560
    • 提供者:黄朝谦
  1. highspeed

    0下载:
  2. 数字时钟的VHDL语言描述,具有高精度,控制性能良好-Digital Clock descr iption of the VHDL language, with high precision and good control performance
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-28
    • 文件大小:1813504
    • 提供者:张亲魄
  1. watch

    0下载:
  2. 功能更强大的数字时钟,有年份,月,日,时,分,秒和星期,可以调校-More powerful digital clock, there are years, months, days, hours, minutes, seconds and weeks, you can adjust the
  3. 所属分类:其他小程序

    • 发布日期:2024-05-28
    • 文件大小:3072
    • 提供者:kid
  1. vhdl

    0下载:
  2. 基于vhdl的数字时钟;24制,带有定时,闹钟等功能。-VHDL-based digital clock 24 system, with time, alarm clock functions.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-28
    • 文件大小:71680
    • 提供者:jecky
  1. Myshizhong

    0下载:
  2. 多功能数字时钟设计方案及电路图,以及必要分析-Multi-functional digital clock and circuit design, as well as the need to analyze the
  3. 所属分类:软件工程

    • 发布日期:2024-05-28
    • 文件大小:4096
    • 提供者:生命之碑
  1. shuzishizhong

    0下载:
  2. 本实验实现一个能显示小时,分钟,秒的数字时钟。数字时钟-The experimental realization of a can display hours, minutes, seconds, the digital clock. Digital Clock
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-28
    • 文件大小:315392
    • 提供者:
  1. clock

    0下载:
  2. 数字时钟,用VHDL语言设计,能调时间,整点响铃(Digital clock, designed in VHDL language, can adjust the time, the whole bell ring)
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-28
    • 文件大小:137216
    • 提供者:liujhliujp81
  1. 数字时钟

    0下载:
  2. 基于VHDL语言编写的数字时钟程序,经验证,可以用硬件实现(Based on VHDL language digital clock program, verified, you can use hardware to achieve.)
  3. 所属分类:其他小程序

« 12 3 4 5 6 7 8 9 10 »

源码中国 www.ymcn.org