搜索资源列表

  1. lcd

    0下载:
  2. 用FPGA来控制2*16LCD的程序,采用VHDL语言来编写,并且我把他转换为verilog语言,有意者请联系;
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:1675
    • 提供者:赵雯
  1. LCD

    0下载:
  2. 单片机驱动LCD液晶字符显示的驱动程序用VHDL语言编写
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:1416
    • 提供者:dakai
  1. lcd

    0下载:
  2. 本程序是用VHDL语言编写液晶驱动程序,实现在液晶上显示\"年\"字的功能.
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:1429
    • 提供者:何平
  1. LCD

    0下载:
  2. 基于vhdl语言的LCD控制程序代码及仿真
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:5067
    • 提供者:hlj1232123
  1. LCD_test

    0下载:
  2. LCD_test主要是基于VHDL语言的LCD驱动,该程序很有参考价值
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:3384
    • 提供者:许小姐
  1. key_borad_test

    0下载:
  2. 本程序为VHDL语言编写的键盘置数程序,并用液晶显示-procedures for the preparation of the VHDL language keyboard home several procedures, and using LCD
  3. 所属分类:Windows编程

    • 发布日期:2024-06-10
    • 文件大小:4096
    • 提供者:tmx
  1. 用VHDL语言在CPLD_FPGA上实现浮点运算

    0下载:
  2. 用VHDL语言在CPLD/FPGA上实现浮点运算的方法-in VHDL CPLD/FPGA achieve floating-point computation methods
  3. 所属分类:编程文档

    • 发布日期:2024-06-10
    • 文件大小:82944
    • 提供者:wei
  1. 200622810562278741

    0下载:
  2. 基与vhdl语言实现1602LCM液晶显示屏的驱动函数和实例-Base with the VHDL language 1602LCM LCD driver functions and examples
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-10
    • 文件大小:15360
    • 提供者:lele
  1. lcd

    0下载:
  2. 用FPGA来控制2*16LCD的程序,采用VHDL语言来编写,并且我把他转换为verilog语言,有意者请联系;
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-10
    • 文件大小:1024
    • 提供者:赵雯
  1. LCD

    0下载:
  2. 单片机驱动LCD液晶字符显示的驱动程序用VHDL语言编写-Single-chip LCD driver liquid crystal display driver of characters using VHDL language
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-06-10
    • 文件大小:1024
    • 提供者:dakai
  1. lcd

    0下载:
  2. 本程序是用VHDL语言编写液晶驱动程序,实现在液晶上显示"年"字的功能.-This procedure is used VHDL language LCD drivers, realize in the liquid crystal display in the function of the word.
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-10
    • 文件大小:1024
    • 提供者:何平
  1. LCD

    0下载:
  2. 基于vhdl语言的LCD控制程序代码及仿真-Based on the VHDL language LCD control and simulation code
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-10
    • 文件大小:5120
    • 提供者:hlj1232123
  1. LCD_test

    0下载:
  2. LCD_test主要是基于VHDL语言的LCD驱动,该程序很有参考价值-LCD_test mainly based on the VHDL language, LCD driver, the program valuable
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-10
    • 文件大小:3072
    • 提供者:许小姐
  1. wumayi

    0下载:
  2. 研究了传统误码仪的工作原理与结构,并利用VHDL语言在FPGA芯片上模拟实现了绝大部分的传统误码仪的功能,如LCD显示驱动,串口通信驱动,误码测试,数据存储芯片驱动等功能.-Research on the traditional instrument of the working principle of error with the structure and language use of VHDL simulation in th
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-10
    • 文件大小:4048896
    • 提供者:张杰
  1. LCD

    0下载:
  2. 在spartan3e开饭板上的字符lcd驱动,在第二行显示oh,yeal-The characters in the spartan3e lcd driver board meals, in the second line shows oh, yeal! !
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-10
    • 文件大小:693248
    • 提供者:zhangjian
  1. LCD

    0下载:
  2. VHDL语言的LCD显示程序,里面总共有两个程序,仅供参考-The VHDL language LCD display program,There are two procedures, for reference only
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-10
    • 文件大小:9216
    • 提供者:wll
  1. LCD-VGA

    0下载:
  2. 基于VHDL语言的vga显示代码,可使用FPGA实现功能-vga for fpga
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-10
    • 文件大小:490496
    • 提供者:Sorame
  1. lcd

    0下载:
  2. 基于VHDL语言的12864液晶显示屏驱动程序。可以显示文字,字符等。开发工具为Quartus-Based on VHDL 12864 LCD driver. Can display text, characters and so on.
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-10
    • 文件大小:4096
    • 提供者:dunlana
  1. LCD-control-VHDL-program

    0下载:
  2. 这些程序可以帮助学习VHDL语言的人们更好的了解VHDL,并且如何用程序去控制LED.- These programs can help people learn VHDL language better understanding of VHDL, and how to use the program to control the LED.
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-10
    • 文件大小:10240
    • 提供者:桂婷
  1. LCD

    0下载:
  2. LCD的循环输出,在Quartus二的环境下进行开发,DE2-70的开发板,用VHDL语言编写-LCD de xúnhuán shūchū, zài Quartus èr de huánjìng xià jìnxíng kāifā,DE2-70 de kāifā bǎn, yòng VHDL yǔyán biānxiě
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-10
    • 文件大小:627712
    • 提供者:王鲁苏
« 12 3 4 5 »

源码中国 www.ymcn.org