搜索资源列表

  1. threeflift

    1下载:
  2. 三层电梯控制器VHDL源程序,是本人的毕业设计-three VHDL source elevator controller, I was the graduate design!
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:1377
    • 提供者:刘凯
  1. dianti

    0下载:
  2. Verilog在maxpuls2下开发的电梯控制器的文档(包括代码),其中说明十分详尽-Verilog maxpuls2 under development in the elevator controller files (including code), It showed very detailed
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:74200
    • 提供者:余远恒
  1. FPGA_27eg

    0下载:
  2. FPGA很有价值的27实例.rar 包括 LED控制VHDL程序与仿真 2004.8修改.doc; LED控制VHDL程序与仿真; LCD控制VHDL程序与仿真 2004.8修改; LCD控制VHDL程序与仿真; ADC0809 VHDL控制程序; TLC5510 VHDL控制程序; DAC0832 接口电路程序; TLC7524接口电路程序; URAT VHDL程序与仿真; ASK调制与解调
  3. 所属分类:文件操作

    • 发布日期:2008-10-13
    • 文件大小:1279333
    • 提供者:
  1. liftor

    0下载:
  2. 基于VHDL语言的实用电梯控制器的设计 源程序经Xilinx公司的Foundation软件仿真 -based on VHDL practical elevator controller design source by Xilinx's Foun dation Simulation Software
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:2119
    • 提供者:杨洋
  1. 6FloorLift

    0下载:
  2. 设计一个6层电梯控制器。电梯控制器是按照乘客的要求自动上、下的装置。 1、每层电梯入口处设置上下请求开关,电梯内设有顾客到达层次的停站请求开关。 2、设有电梯所处位置指示装置以及电梯运行模式(上升或者下降)指示装置。 3、电梯每秒升降一层楼。 4、电梯到达有停站请求的楼层,经过1秒电梯门打开,开门4秒后,电梯门关闭(开门指示灯灭),电梯继续运行,直至执行完最后一个请求信号后停留在当前层。 5、电梯能记忆电梯内外所有请
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:1808
    • 提供者:zheng
  1. te3560

    0下载:
  2. 基于VHDL语言的实用电梯控制器的设计 -based on VHDL practical elevator controller design based on VHDL practical Elevator Controller VHDL design based on the practical design of the elevator controller based on VHDL practical elevator co
  3. 所属分类:文件操作

    • 发布日期:2008-10-13
    • 文件大小:94113
    • 提供者:your name
  1. dianti

    0下载:
  2. 电梯控制器,其中包含如何设计硬件电路以及如何设计软件电路
  3. 所属分类:WEB源码

    • 发布日期:2008-10-13
    • 文件大小:30239
    • 提供者:正分
  1. elevator_car

    0下载:
  2. 8层电梯控制器。电梯控制器是按照乘客的要求自动上、下的装置。 1、每层电梯入口处设置上下请求开关,电梯内设有顾客到达层次的停站请求开关。 2、设有电梯所处位置指示装置以及电梯运行模式(上升或者下降)指示装置。 3、电梯每秒升降一层楼。 4、电梯到达有停站请求的楼层,经过1秒电梯门打开,开门4秒后,电梯门关闭(开门指示灯灭),电梯继续运行,直至执行完最后一个请求信号后停留在当前层。 5、电梯能记忆电梯内外所有请求信号,并按照电梯运行规则按
  3. 所属分类:文件操作

    • 发布日期:2008-10-13
    • 文件大小:1229
    • 提供者:lai yee
  1. VerilogHDL_Lift_Control

    0下载:
  2. 采用Verilog HDL语言编写的实用电梯控制器,这是一个在实验室里模拟的项目,分为主控制器与分控制器,主控制器完成运行方向、显示楼层、关开电梯门、与分控制器通讯等功能;分控制器是在每一层的设备,实现显示电梯当前所在楼层、接收乘客上升下降要求等功能。此代码对控制类相关的学习者价值很高,
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:1198170
    • 提供者:廖耿耿
  1. vhd

    0下载:
  2. 一个VHDL电梯控制器的程序 1、 每层电梯的入口处设有上下请求开关,电梯内设有乘客到达层次的停站请求开关。 2、 设有电梯所处位置指示装置及电梯运行模式(上升或下降)指示装置。 3、 电梯每秒升降一层。 4、 电梯到达有停站请求的楼层后,经过1s电梯打开,开门只是灯亮,开门4s后,电梯门关闭(关门指示灯灭),电梯继续运行,直至执行完请求信号后停在当前楼层。
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:124986
    • 提供者:123456
  1. elivator_control

    0下载:
  2. 电梯控制器 用于八层楼双电梯的协调调度 可进行扩展
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:544947
    • 提供者:王鹤
  1. lift

    0下载:
  2. 4层电梯控制器(单向层层停控制方式)VHDL源程序(绝对通,符详细注释)
  3. 所属分类:图形图象

    • 发布日期:2008-10-13
    • 文件大小:8801
    • 提供者:qlz
  1. EDAdeisgn(2)

    0下载:
  2. 该文件中是关于一些VHDL许多编程实例以及源码分析,希望对VHDL爱好者有用。卷2实例包括:多路彩灯控制器的设计与分析、智力抢器的设计与分析、微波炉控制器、数据采集控制系统、电梯控制器的设计与分析
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:4951895
    • 提供者:shengm1
  1. elev

    0下载:
  2. Verilog 电梯控制器设计 设计一个八层楼房自动电梯控制器,用八个 LED显示电梯行进过程,并有数码管显示电梯当前所在楼层位置,在每层电梯入口处设有请求按钮开关,请求按钮按下则相应楼层的LED 亮。 用 CLK脉冲控制电梯运动,每来一个 CLK脉冲电梯升(降)一层。电梯到达有请求的楼层后,该层次的指示灯灭,电梯门打开(开门指示灯亮),开门 5 秒后,电梯门自动关闭,电梯继续运行。 控制电路应能记忆所有楼层请求信
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:77109
    • 提供者:Fly
  1. THREEFLIFT

    1下载:
  2. 电梯控制器的设计与分析---控制器的层数为三层,,电梯到达有停站请求的楼层,经过一秒电梯门打开,开门指示灯亮,开门4秒后,电梯门关闭(开门指示灯灭),电梯继续运行,直至执行完最后一个请求信号后停留在当前层。
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:228682
    • 提供者:梁浪风
  1. lift_controler-verilog

    1下载:
  2. 用verilog写的电梯控制器,内附测试文件和实验报告
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:665441
    • 提供者:jia
  1. dianti

    0下载:
  2. 电梯控制器的源代码,要的快下快下快下快下
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:2124
    • 提供者:苏斌
  1. elevator

    0下载:
  2. 电梯控制器 可实现电梯上下响应任务,到站提示音,无任务停在基站
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:1655
    • 提供者:hilly
  1. dianti

    0下载:
  2. 该程序实现的功能是:基于VHDL语言的电梯控制器
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:1723
    • 提供者:苗黄
  1. 简易电梯设计

    0下载:
  2. (1)控制器为六层电梯随机控制系统; (2)每层设有请求按钮和呼叫指示灯; (3)具备梯内控制和梯外控制功能,梯外有呼叫功能,梯内有到达的楼层控制功能及开、合控制功能; (4) 系统具备故障报警和楼层数码显示功能; (5) 电梯具备上行和下行两个方向,但运行过程中不响应梯外呼叫请求。 (6)电梯上楼过程以动态流水灯显示。(MULTISIM,IT WILL MAKES A elevator)
  3. 所属分类:嵌入式/单片机编程

« 1 2 3 45 6 7 8 9 10 »

源码中国 www.ymcn.org